• 제목/요약/키워드: OES(Optical Emission Spectroscopy)

검색결과 209건 처리시간 0.025초

Effect of Oxygen for Diamond Film Synthesis with C-Hexane in Microwave Plasma Enhanced CVD Process

  • Han, Sang-Bo
    • Journal of Electrical Engineering and Technology
    • /
    • 제7권6호
    • /
    • pp.983-989
    • /
    • 2012
  • The purpose of this paper is to decide the optimum synthesis conditions of polycrystalline diamond films according to the ratio of gas mixture. Diamond films were deposited with cyclo-hexane as a carbon precursor by the microwave plasma enhanced chemical vapor deposition process. The optimum oxygen ratio to cyclo-hexane was reached about 125 % under the fixed 0.3% c-hexane in hydrogen. Oxygen plays a role in etching the graphitic components of carbon sp2 bond effectively. By OES measurement, the best synthesis conditions found out about 12.5 % and 15.75 %, which is the emission intensity ratios of CH(B-X) and $H{\beta}$ on $H{\alpha}$, respectively. Also, the electron temperature was similar about 5,000 to 5,200 K in this work.

90 nm급 텅스텐 폴리사이드 게이트 식각공정에서 식각종말점의 안정화에 관한 연구 (A Study for Stable End Point Detection in 90 nm WSix/poly-Si Stack-down Gate Etching Process)

  • 고용득;천희곤;이징혁
    • 한국전기전자재료학회논문지
    • /
    • 제18권3호
    • /
    • pp.206-211
    • /
    • 2005
  • The device makers want to make higher density chips on the wafer through scale-down. The change of WSix/poly-Si gate film thickness is one of the key issues under 100 nm device structure. As a new device etching process is applied, end point detection(EPD) time delay was occurred in DPS+ poly chamber of Applied Materials. This is a barrier of device shrink because EPD time delay made physical damage on the surface of gate oxide. To investigate the EPD time delay, the experimental test combined with OES(Optical Emission Spectroscopy) and SEM(Scanning Electron Microscopy) was performed using patterned wafers. As a result, a EPD delay time is reduced by a new chamber seasoning and a new wavelength line through plasma scan. Applying a new wavelength of 252 nm makes it successful to call corrected EPD in WSix/poly-Si stack-down gate etching in the DPS+ poly chamber for the current and next generation devices.

$CH_4$/Ar 유도 결합 플라즈마를 이용한 Sapphire 기판의 식각 특성 (Etching properties of sapphire substrate using $CH_4$/Ar inductively coupled plasma)

  • 엄두승;김관하;김동표;양설;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.102-102
    • /
    • 2008
  • Sapphire (${\alpha}-Al_2O_3$) has been used as the substrate of opto-electronic device because of characteristics of thermal stability, comparatively low cost, large diameter, optical transparency and chemical compatibility. However, there is difficulty in the etching and patterning due to the physical stability of sapphire and the selectivity with sapphire and mask materials [1,2]. Therefore, sapphire has been studied on the various fields and need to be studied, continuously. In this study, the etching properties of sapphire substrate were investigated with various $CH_4$/Ar gas combination, radio frequency (RF) power, DC-bias voltage and process pressure. The characteristics of the plasma were estimated for mechanism using optical emission spectroscopy (OES). The chemical compounds on the surface of sapphire substrate were investigated using energy dispersive X-ray (EDX). The chemical reaction on the surface of the etched sapphire substrate was observed by X-ray photoelectron spectroscopy (XPS). Scanning electron microscopy (SEM) was used to investigate the vertical and slope profiles.

  • PDF

Effects of OH Radical Density from Atmospheric Plasma to Induce Cell Death in Lung Cancer and Normal Cells

  • 박대훈;김용희;심건보;백구연;엄환섭;최은하
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.254.1-254.1
    • /
    • 2014
  • Atmospheric plasma's electron temperature is less than thermal plasma, so it is useful at bio experiment. We have investigated the optical emission spectroscopy (OES) lines by spectrometer during Atmospheric plasma bombardment onto the PBS surface by using an Ar gas flow. Also we have measured the OH radical density inside the solution induced by the Atmospheric plasma bombardment. OH radical species are appeared at 308 nm and 309 nm. Densities of OH radical species has been found to be significantly decreased versus depth of the solution from 2 mm to 6 mm. OH radical density inside the PBS is measured to be about $1.87{\times}1016cm-3$ downstream at 2 mm from the surface under optimized Ar gas flow of 200 sccm in Atmospheric plasma. Also we have investigated cell viability of lung cancer and normal cell after Atmospheric plasma treatment for fixed exposure time in 60 seconds, but different depths. We used SEM, we observed change of cell morphorogy, did experiment about FDA & PI Staining method. It is found that there is selectivity between the lung cancer and lung normal cell, in which cancer cell definitely has higher cell death ratio more than normal cell. We have investigated change of bond structure in FT-IR spectroscopy, the following peaks were observed: and intense O-H peak at 3422 cm-1 and at 2925 cm-1 corresponds to C-H stretch vibrations of methylene group.

  • PDF

대기압 플라즈마로 폐 암세포(H460)와 폐 정상세포(L132) 처리시, OH radical density에 따른 Cell 변화 측정

  • 박대훈;김용희;심건보;백구연;엄환섭;최은하
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제45회 하계 정기학술대회 초록집
    • /
    • pp.184.2-184.2
    • /
    • 2013
  • 대기압 플라즈마와 생체용액과의 상호작용은 Bio-medical 분야에서 주목 받고 있다. 대기압 플라즈마는 전자온도가 고온 플라즈마 보다 상대적으로 낮기 때문에 생체에 적용하기가 적합하다. 따라서 플라즈마가 세포에 미치는 영향을 관측하기 위해서 대기압 플라즈마를 이용하여 생체용액과의 반응을 살펴보고자 한다. Ar gas를 이용하여 플라즈마를 발생시켜 생체용액 표면을 처리하고 OES (Optical Emission Spectroscopy)을 이용해 방출 선을 조사했다. Ar 기체를 이용한 대기압 플라즈마를 사용하여 다른종류의 용액내의 OH Radical Density를 측정하였다. 용액으로는 DI (deionized) water 와 PBS (1x phosphate buffered saline)를 사용하였다. Ar gas를 200 sccm ($cm^3/min$) 으로 흐르게 하였을 때, DI water의 OH Radical Density 는 $4.33{\times}10^{16}cm^{-3}$ 으로 측정되었으며, 자외선 흡수분광법으로 측정한 완충용액인 PBS의 OH Radical Density 측정값은 $1.87{\times}10^{16}cm^{-3}$ 이다. 이런 특성을 기반으로, PBS 용액내의 H460 (Lung Cancer Cell) 와 L132 (Lung Normal Cell)을 깊이와 시간에 따라 대기압 플라즈마로 처리하여 cell의 변화를 보았다. 실험 각각의 조건은 깊이를 2 mm, 4 mm, 6 mm이며 시간은 10 sec, 30 sec, 60 sec 로 설정하였다. 표면으로부터의 깊이가 2 mm, 4 mm, 6 mm 일때 의 OH Radical Density는 각각 $1.87{\times}10^{16}cm^{-3}$, $0.5{\times}10^{16}cm^{-3}$, 0으로써 용액이 깊어질수록 OH Radical Density가 감소함을 볼 수 있다. OH radical density가 높은 2 mm 에서, 처리한 시간이 길어질수록 Cell 은 영향을 많이 받음을 관찰 할 수 있었다. H460 이 L132 보다 플라즈마에 영향을 많이 받음을 확인하였다. 특성변화를 알아보기 위하여 raman spectroscopy, flow cytometry, electron spin resonance로 측정한다.

  • PDF

실시간 데이터를 위한 64M DRAM s-Poly 식각공정에서의 웨이퍼 상태 예측 (Wafer state prediction in 64M DRAM s-Poly etching process using real-time data)

  • 이석주;차상엽;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 1997년도 한국자동제어학술회의논문집; 한국전력공사 서울연수원; 17-18 Oct. 1997
    • /
    • pp.664-667
    • /
    • 1997
  • For higher component density per chip, it is necessary to identify and control the semiconductor manufacturing process more stringently. Recently, neural networks have been identified as one of the most promising techniques for modeling and control of complicated processes such as plasma etching process. Since wafer states after each run using identical recipe may differ from each other, conventional neural network models utilizing input factors only cannot represent the actual state of process and equipment. In this paper, in addition to the input factors of the recipe, real-time tool data are utilized for modeling of 64M DRAM s-poly plasma etching process to reflect the actual state of process and equipment. For real-time tool data, we collect optical emission spectroscopy (OES) data. Through principal component analysis (PCA), we extract principal components from entire OES data. And then these principal components are included to input parameters of neural network model. Finally neural network model is trained using feed forward error back propagation (FFEBP) algorithm. As a results, simulation results exhibit good wafer state prediction capability after plasma etching process.

  • PDF

유도 결합 플라즈마를 이용한 MgO 박막의 식각특성 (The etching properties of MgO thin films in $Cl_2/Ar$ gas chemistry)

  • 구성모;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.2
    • /
    • pp.734-737
    • /
    • 2004
  • The metal-ferroelectric-semiconductor (MFS) structure is widely studied for nondestructive readout (NDRO) memory devices, but conventional MFS structure has a critical problem. It is difficult to obtain ferroelectric films like PZT on Si substrate without interdiffusion of impurities such as Pb, Ti and other elements. In order to solve these problems, the metal-ferroelectric-insulator-semiconductor (MFIS) structure has been proposed with a buffer layer of high dielectric constant such as MgO, $Y_2O_3$, and $CeO_2$. In this study, the etching characteristics (etch rate, selectivity) of MgO thin films were etched using $Cl_2/Ar$ plasma. The maximum etch rate of 85 nm/min for MgO thin films was obtained at $Cl_2$(30%)/Ar(70%) gas mixing ratio. Also, the etch rate was measured by varying the etching parameters such as ICP rf power, dc-bias voltage, and chamber pressure. Plasma diagnostics was performed by Langmuir probe (LP) and optical emission spectroscopy (OES).

  • PDF

$CF_4$ 첨가에 따른 polyimide 박막의 패터닝 연구 (The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas)

  • 강필승;김창일;김상기
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI mm Was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was $8300{\AA}/min$ and vertical profile was approximately acquired $90^{\circ}$ at $CF_{4}/(CF_{4}+O_{2})$ of 0.2. The selectivies of polyimide to PR and $SiO_{2}$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of $O_{2}/CF_{4}$ were investigated by optical emission spectrometer (OES).

  • PDF

A Study of Etch Characteristics of ITO Thin Film using the Plasma Diagnostic Tools

  • Park, J.Y.;Lee, D.H.;Jeong, C.H.;Kim, H.S.;Kwon, K.H.;Yeom, G.Y.
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2000년도 제1회 학술대회 논문집
    • /
    • pp.85-87
    • /
    • 2000
  • In this study, high-density plasma etching characteristics of ITO(indium tin oxide) films used for transparent electrodes in display devices have been investigated. The etch characteristics of ITO as a function of $Ar/CH_4$ gas mixtures were analyzed using QMS(quadrupole mass spectrometry), OES(optical emission spectroscopy), and ESP(electrostatic probe). ITO etch rates were increased with the addition of moderate amount of $CH_4$ to Ar due to the increased chemical reaction between $CH_3$ or H and ITO in addition to the physical sputtering of ITO by Ar ion bombardment. However, the addition of excess amount of $CH_4$ decreased the ITO etch rates possibly due to the increased polymer formation on the ITO surface. Also, the measurement data obtained by QMS and OES suggested that $CH_3$ radicals are more activity involved in the etching of ITO compared to H radicals.

  • PDF

O2 / Ar 플라즈마를 이용한 구리호일 표면 개질에 관한 연구 (A Study on the Surface Modification Mechanism of Copper Foil Using O2 / Ar Plasma)

  • 이종찬;손진영;김문근;권광호;이현우
    • 한국전기전자재료학회논문지
    • /
    • 제26권11호
    • /
    • pp.836-840
    • /
    • 2013
  • In this study, the surface modification of copper foil using an inductively coupled $O_2$ / Ar plasma as $O_2$ gas fraction (0~100%) was investigated in order to improve the surface characteristics. After plasma treatment, the measurement of the surface roughness, surface contact angle and surface energy were performed for the surface analysis of copper foil. As a result, the surface roughness and the surface energy were increased. And plasma diagnostics was performed by a double Langmuir probe (DLP) and optical emission spectroscopy (OES). Using these results, the plasma surface modification mechanism was investigated.