• Title/Summary/Keyword: Nano patterning

Search Result 212, Processing Time 0.026 seconds

Surface modification for block copolymer nanolithographyon gold surface

  • Hwang, In-Chan;Bang, Seong-Hwan;Lee, Byeong-Ju;LeeHan, Bo-Ram;Kim, Hyeong-Jun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.11a
    • /
    • pp.33.2-33.2
    • /
    • 2009
  • Block copolymer lithography has attracted great attention for emerging nanolithography since nanoscaleperiodic patterns can be easily obtained through self-assembly process without conventional top-down patterning process. Since the morphologies of self-assembled block copolymer patterns are strongly dependent on surface energy of a substrate, suitable surface modification is required. Until now, the surface modification has been studied by using random copolymer or self-assembled mono layers (SAMs). However, the research on surface modifications has been limited within several substrates such as Si-based materials. In present study, we investigated the formation of block copolymer on Au substrate by $O_2$ plasma treatment with the SAM of 3-(p-methoxy-phenyl)propyltrichloro-silane [MPTS, $CH_3OPh(CH_2)_3SiCl_3$]. After $O_2$ plasma treatment, the chemical bonding states of the surface were analyzed by X-ray photoelectron spectroscopy (XPS). The static contact angle measurement was performed to study the effects of $O_2$ plasma treatment on the formation of MPTS monolayer. The block copolymer nanotemplates formed on Au surface were analyzed by scanning electron microscopy. The results showed that the ordering of self-assembled block copolymer pattern and the formation of cylindrical nano hole arrays were enhanced dramatically by oxygen plasma treatment. Thus, the oxidation of gold surface by $O_2$ plasma treatment enables the MPTS to form the monolayer assembly leading to surface neutralization of gold substrates.

  • PDF

Design, Fabrication and Testing of Planar Type of Micro Solid Propellant Thruster (평판형 마이크로 고체 추진제 추력기의 설계, 제작 및 평가)

  • Lee, Jong-Kwang;Kwon, Se-Jin
    • Journal of the Korean Society of Propulsion Engineers
    • /
    • v.10 no.4
    • /
    • pp.77-84
    • /
    • 2006
  • With the development of micro/nano spacecraft, concepts of micro propulsion are introduced for orbit transfer and drag compensation as well as attitude control. Micro solid propellant thruster has been attention as one of possible solution for micro thruster. In this paper, micro solid propellant thruster is introduced and research on basic components of a micro solid propellant thruster is reported. Micro Pt igniter was fabricated through negative patterning and quantitative effect of geometry was estimated. The characteristic of HTPB/AP solid propellant was investigated to measure the homing velocity. A combustion chamber was fabricated by means of anisotropic etching of photosensitive glass. Finally, micro solid propellant thrusters having various geometries were fabricated and tested.

Temperature-dependent DC Characteristics of Homojunction InGaAs vertical Fin TFETs (동종 접합 InGaAs 수직형 Fin TFET의 온도 의존 DC 특성에 대한 연구)

  • Baek, Ji-Min;Kim, Dae-Hyun
    • Journal of Sensor Science and Technology
    • /
    • v.29 no.4
    • /
    • pp.275-278
    • /
    • 2020
  • In this study, we evaluated the temperature-dependent characteristics of homojunction InGaAs vertical Fin-shaped Tunnel Field-Effect Transistors (Fin TFETs), which were fabricated using a novel nano-fin patterning technique in which the Au electroplating and the high-temperature InGaAs dry-etching processes were combined. The fabricated homojunction InGaAs vertical Fin TFETs, with a fin width and gate length of 60 nm and 100 nm, respectively, exhibited excellent device characteristics, such as a minimum subthreshold swing of 80 mV/decade for drain voltage (VDS) = 0.3 V at 300 K. We also analyzed the temperature-dependent characteristics of the fabricated TFETs and confirmed that the on-state characteristics were insensitive to temperature variations. From 77 K to 300 K, the subthreshold swing at gate voltage (VGS) = threshold voltage (VT), and it was constant at 115 mV/decade, thereby indicating that the conduction mechanism through band-to-band tunneling influenced the on-state characteristics of the devices.

Fabrication and Characterization of Electro-photonic Performance of Nanopatterned Organic Optoelectronics

  • Nil, Ri-Swi;Han, Ji-Yeong;Gwon, Hyeon-Geun;Lee, Gyu-Tae;Go, Du-Hyeon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.134.2-134.2
    • /
    • 2014
  • Photonic crystal solar cells have the potential for addressing the disparate length scales in polymer photovoltaic materials, thereby confronting the major challenge in solar cell technology: efficiency. One must achieve simultaneously an efficient absorption of photons with effective carrier extraction. Unfortunately the two processes have opposing requirements. Efficient absorption of light calls for thicker PV active layers whereas carrier transport always benefits from thinner ones, and this dichotomy is at the heart of an efficiency/cost conundrum that has kept solar energy expensive relative to fossil fuels. This dichotomy persists over the entire solar spectrum but increasingly so near a semiconductor's band edge where absorption is weak. We report a 2-D, photonic crystal morphology that enhances the efficiency of organic photovoltaic cells relative to conventional planar cells. The morphology is developed by patterning an organic photoactive bulk heterojunction blend of Poly(3-(2-methyl-2-hexylcarboxylate) thiophene-co-thiophene) and PCBM via PRINT, a nano-embossing method that lends itself to large area fabrication of nanostructures. The photonic crystal cell morphology increases photocurrents generally, and particularly through the excitation of resonant modes near the band edge of the organic PV material. The device performance of the photonic crystal cell showed a nearly doubled increase in efficiency relative to conventional planar cell designs. Photonic crystals can also enhance performance of other optoelectronic devices including organic laser.

  • PDF

Facile Fabrication Process for Graphene Nanoribbon Using Nano-Imprint Lithography(NIL) and Application of Graphene Pattern on Flexible Substrate by Transfer Printing of Silicon Membrane (나노임프린트 리소그래피 기술을 이용한 그래핀 나노리본 트랜지스터 제조 및 그래핀 전극을 활용한 실리콘 트랜지스터 응용)

  • Eom, Seong Un;Kang, Seok Hee;Hong, Suck Won
    • Korean Journal of Materials Research
    • /
    • v.26 no.11
    • /
    • pp.635-643
    • /
    • 2016
  • Graphene has shown exceptional properties for high performance devices due to its high carrier mobility. Of particular interest is the potential use of graphene nanoribbons as field-effect transistors. Herein, we introduce a facile approach to the fabrication of graphene nanoribbon (GNR) arrays with ~200 nm width using nanoimprint lithography (NIL), which is a simple and robust method for patterning with high fidelity over a large area. To realize a 2D material-based device, we integrated the graphene nanoribbon arrays in field effect transistors (GNR-FETs) using conventional lithography and metallization on highly-doped $Si/SiO_2$ substrate. Consequently, we observed an enhancement of the performance of the GNR-transistors compared to that of the micro-ribbon graphene transistors. Besides this, using a transfer printing process on a flexible polymeric substrate, we demonstrated graphene-silicon junction structures that use CVD grown graphene as flexible electrodes for Si based transistors.

Ductile-Regime Nanopatterning on Pyrex 7740 Glass Surface and Its Application to the Fabrication of Positive-tone PDMS Stamp for Microcontact Printing (${\mu}CP$) (미소접촉인쇄 공정용 철형 PDMS 스템프 제작을 위한 Pyrex 7740 glass 표면의 연성영역 나노패터닝)

  • Kim H. I.;Youn S. W.;Kang C. G.
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 2004.10a
    • /
    • pp.40-43
    • /
    • 2004
  • Stamps for microcontact processing are fabricated by casting elastomer such as PDMS on a master with a negative of the desired pattern. After curing, the PDMS stamp is peeled away from the master and exposed to a solution of ink and then dried. Transfer of the ink from the PDMS stamp to the substrate occurs during a brief contact between stamp and substrate. Generally, negative-tone masters, which are used for making positive-tone PDMS stamps, are fabricated by using photolithographic technique. The shortcomings of photolithography are a relative high-cost process and require extensive processing time and heavy capital investment to build and maintain the fabrication facilities. The goal of this study is to fabricate a negative-tone master by using Nano-indenter based patterning technique. Various sizes of V-grooves and U-groove were fabricated by using the combination of nanoscratch and HF isotropic etching technique. An achieved negative-tone structure was used as a master in the PDMS replica molding process to fabricate a positive-tone PDMS stamp.

  • PDF

Analysis of the Evaporation Behavior of Resin Droplets in UV-Nanoimprint Process (UV 나노임프린트 공정에서의 수지 액적 증발 거동 분석)

  • Choi, D.S.;Kim, K.D.
    • Transactions of Materials Processing
    • /
    • v.18 no.3
    • /
    • pp.268-273
    • /
    • 2009
  • Ultraviolet nanoimprint lithography (UV-NIL), which is performed at a low pressure and at room temperature, is known as a low cost method for the fabrication of nano-scale patterns. In the patterning process, maintaining the uniformity of the residual layer is critical as the pattern transfer of features to the substrate must include the timed etch of the residual layer prior to the etching of the transfer layer. In pursuit of a thin and uniform residual layer thickness, the initial volume and the position of each droplet both need to be optimized. However, the monomer mixtures of resin had a tendency to evaporate. The evaporation rate depends on not only time, but also the initial volume of the monomer droplet. In order to decide the initial volume of each droplet, the accurate prediction of evaporation behavior is required. In this study, the theoretical model of the evaporation behavior of resin droplets was developed and compared with the available experimental data in the literature. It is confirmed that the evaporation rate of a droplet is not proportional to the area of its free surface, but to the length of its contact line. Finally, the parameter of the developed theoretical model was calculated by curve fitting to decide the initial volume of resin droplets.

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Ablation of Polypropylene for Breathable Packaging Films

  • Sohn, Ik-Bu;Noh, Young-Chul;Choi, Sung-Chul;Ko, Do-Kyeong;Lee, Jong-Min;Choi, Young-Jin
    • Laser Solutions
    • /
    • v.9 no.3
    • /
    • pp.15-21
    • /
    • 2006
  • A Polypropylene (PP) film was ablated using a femtosecond laser with a center wavelength of 785 nm, a pulse width of 184 fs and a repetition rate of 1 kHz. Increments of both pulse energy and the shot number of pulses lead to co-occurrence of photochemical and thermal effect, demonstrated by the spatial expansion of rim on the surface of PP. The shapes of the laser-ablated PP films were imaged by a scanning electron microscope (SEM) and measured a 3D optical measurement system (NanoFocus). And, the oxygen transmission rate (ORT) of periodically laser-ablated PP film were characterized by oxygen permeability tester for modified atmosphere packaging (MAP) of fresh fruit and vegetable. Our results demonstrate that femtosecond pulsed laser is efficient tools for breathable packaging films in modifying the flow of air and gas into and out of a fresh produce container, where the micropatterns are specifically tailored in size, location and number which are easily controlled by laser pulse energy and pulse patterning system.

  • PDF

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • Jang, Hae-Gyu;Kim, Dae-Gyeong;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF