• Title/Summary/Keyword: Metal-insulator-semiconductor

Search Result 200, Processing Time 0.033 seconds

Analysis of a transmission line on Si-based lossy structure using Finite-Difference Time-Domain(FDTD) method (손실있는 실리콘 반도체위에 제작된 전송선로의 유한차분법을 이용한 해석)

  • 김윤석
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.25 no.9B
    • /
    • pp.1527-1533
    • /
    • 2000
  • Basically, a general characterization procedure based on the extraction of the characteristic impedance and propagation constant for analyzing a single MIS(Metal-Insulator-Semiconductor) transmission line is used. In this paper, an analysis for a new substrate shielding MIS structure consisting of grounded cross-bars at the interface between Si and SiO2 layer using the Finite-Difference Time-Domain (FDTD) method is presented. In order to reduce the substrate effects on the transmission line characteristics, a shielding structure consisting of grounded cross bar lines over time-domain signal has been examined. The extracted distributed frequency-dependent transmission line parameters and corresponding equivalent circuit parameters as well as quality factor have been examined as functions of cross-bar spacing and frequency. It is shown that the quality factor of the transmission line can be improved without significant change in the characteristic impedance and effectve dielectric constant.

  • PDF

펄스 레이저 방식으로 증착된 $MgTiO_3$ 박막의 전기적 특성 분석

  • 안순홍;노용한;강신충;이재찬
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.71-71
    • /
    • 2000
  • 본 연구에서는 차세대 마이크로파 유전체 소자로서의 응용을 목적으로 펄스 레이저 방식에 의하여 증착된 MgTiO3 박막의 전기적 특성을 종합적으로 연구 분석하였다. 이를 바탕으로 MgTiO3 박막의 유전손실 등과 같은 열화를 야기시키는 박막 내부 또는 박막과 기판간의 결함의 특성을 파악하여 열화 메카니즘을 분석하였다. MgTiO3는 마이크로파 영역에서의 우수한 유전특성과 같은 낮은 유전손실을 가지며, 온도 안정성 또한 우수하다. 현재까지 벌크 세라믹 MgTiO3 의 응용 광범위하게 연구되어 왔으나 박막의 제조공정 및 전기적 특성 분석은 미흡한 형편이다. 따라서 벌크 세라믹과는 특성이 상이한 박막의 전기적 특성분석 및 연구가 필요하다. 분석을 위한 소자의 기본 구조로서 Metal-Insulator-Semiconductor(MIS) 구조를 채택하였다. MgTiO3 박막을 증착하기 위한 기판으로는 n형 Si(100)기판과 p형 Si(100)기판을 사용하였고, Si 기판 위에 급속 열처리기 (RTP)를 이용하여 SiO2를 ~100 두께로 성장시킨 것과 성장시키지 않은 것으로 구분하여 제작하였다. MgTiO3 박막은 펄스 레이저 증착 방식(PLD)에 의하여 약 2500 두께로 증착되었으며, 200mTorr 압력의 산소 분위기 하에서 기판의 온도를 40$0^{\circ}C$~55$0^{\circ}C$까지 5$0^{\circ}C$간격으로 변화시키며 제작하였다. 상하부의 전극 금속으로는 Al을 이용하였으며, 열증발 증착기로 증착하였다. 증착된 MgTiO3 박막의 결정구조를 확인하기 위하여 XRD 분석을 수행하였으며, 박막의 전기적 특성을 분석하기 위해 Boonton7200 C-V 측정기와 HP4140P를 이용한 경우에는 C-V 곡선에 이력현상이 나타났으나, MgTiO3/SiO2를 이용한 경우에는 이력현상이 나타나지 않았고, 유전율은 감소하는 것으로 나타났다. I-V 측정 결과, 절연층으로 MgTiO3/SiO2를 이용한 경우에는 MgTiO3만을 절연층으로 사용한 경우에 비해 동일한 전계에서 낮은 누설전류 값을 가짐을 알 수 있었다. 또한 박막의 증착온도가 증가함에 따라서 C-V 곡선의 위치가 양의 방향으로 이동함을 확인하였다. 위의 현상은 기판의 종류에 관계없이 발생하는 것으로 보아 벌크 또는 계면에 존재하는 결함에 의한 것으로 추정된다. 현재 C-V 곡선의 이동 원인과 I-V 곡선의 누설전류 메카니즘을 분석 중에 있으며 그 결과를 학회에서 발표할 예정이다.

  • PDF

Hydrogen Response Characteristics of Tantalum Oxide Layer Formed by Rapid Thermal Oxidation at High Temperatures (고온에서 급속열산화법으로 형성된 탄탈륨산화막의 수소응답특성)

  • Seong-Jeen Kim
    • Journal of IKEEE
    • /
    • v.27 no.1
    • /
    • pp.19-24
    • /
    • 2023
  • Since silicon having a band gap energy of about 1.12 eV are limited to a maximum operating temperature of less than 250 ℃, the sample with MIS structure based on the SiC substrate of wide-band gap energy was manufactured and the hydrogen response characteristics at high temperatures were investigated. The dielectric layer applied here is a tantalum oxide layer that is highly permeable to hydrogen gas and shows stability at high temperatures. It was formed by RTO at a temperature of 900 ℃ with tantalum. The thickness, depth profiles, and leakage current of the tantalum oxide layer were analyzed through TEM, SIMS, and leakage current characteristics. For the hydrogen gas response characteristics, the capacitance change characteristics were investigated in the temperature range from room temperature to 400 ℃ for hydrogen gas concentrations from 0 to 2,000 ppm. As a result, it was confirmed that the sample exhibited excellent sensitivity and a response time of about 60 seconds.

A study on Etch Characteristics of {Y-2}{O_3}$ Thin Films in Inductively Coupled Plasma (유도 결합 플라즈마를 이용한 {Y-2}{O_3}$ 박막의 식각 특성 연구)

  • Kim, Yeong-Chan;Kim, Chang-Il
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.9
    • /
    • pp.611-615
    • /
    • 2001
  • Y$_2$O$_3$ thin films have been proposed as a buffering insulator of metal/ferroelectric/insulator/semiconductor field effect transistor(MFISFET)-type ferroelectric random access memory (FRAM). In this study, $Y_2$O$_3$ thin films were etched with inductively coupled plasma(ICP). The etch rates of $Y_2$O$_3$ and YMnO$_3$, and the selectivity of $Y_2$O$_3$ to YMnO$_3$ were investigated by varying Cl$_2$/(Cl$_2$+Ar) gas mixing ratio. The maximum etch rate of $Y_2$O$_3$, and the selectivity of $Y_2$O$_3$ to YMnO$_3$ were 302$\AA$/min, and 2.4 at Cl$_2$/(Cl$_2$+Ar) gas mixing ratio of 0.2 respectively. Optical emission spectroscopy(OES) was used to understand the effects of gas combination on the etch rate of $Y_2$O$_3$ thin film. The surface reaction of the etched $Y_2$O$_3$ thin films was investigated by x-ray photoelectron spectroscopy (XPS). XPS analysis confirmed that there was chemical reaction between Y and Cl. This result was confirmed by secondary ion mass spectroscopy(SIMS) analysis.

  • PDF

Effect of Fluoride-based Plasma Treatment on the Performance of AlGaN/GaN MISHFET

  • Ahn, Ho-Kyun;Kim, Hae-Cheon;Kang, Dong-Min;Kim, Sung-Il;Lee, Jong-Min;Lee, Sang-Heung;Min, Byoung-Gue;Yoon, Hyoung-Sup;Kim, Dong-Young;Lim, Jong-Won;Kwon, Yong-Hwan;Nam, Eun-Soo;Park, Hyoung-Moo;Lee, Jung-Hee
    • ETRI Journal
    • /
    • v.38 no.4
    • /
    • pp.675-684
    • /
    • 2016
  • This paper demonstrates the effect of fluoride-based plasma treatment on the performance of $Al_2O_3/AlGaN/GaN$ metal-insulator-semiconductor heterostructure field effect transistors (MISHFETs) with a T-shaped gate length of $0.20{\mu}m$. For the fabrication of the MISHFET, an $Al_2O_3$ layer as a gate dielectric was deposited using atomic layer deposition, which greatly decreases the gate leakage current, followed by the deposition of the silicon nitride layer. The silicon nitride layer on the gate foot region was then selectively removed through a reactive ion etching technique using $CF_4$ plasma. The etching process was continued for a longer period of time even after the complete removal of the silicon nitride layer to expose the $Al_2O_3$ gate dielectric layer to the plasma environment. The thickness of the $Al_2O_3$ gate dielectric layer was slowly reduced during the plasma exposure. Through this plasma treatment, the device exhibited a threshold voltage shift of 3.1 V in the positive direction, an increase of 50 mS/mm in trans conductance, a degraded off-state performance and a larger gate leakage current compared with that of the reference device without a plasma treatment.

Analysis of wet chemical tunnel oxide layer characteristics capped with phosphorous doped amorphous silicon for high efficiency crystalline Si solar cell application

  • Kang, Ji-yoon;Jeon, Minhan;Oh, Donghyun;Shim, Gyeongbae;Park, Cheolmin;Ahn, Shihyun;Balaji, Nagarajan;Yi, Junsin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2016.02a
    • /
    • pp.406-406
    • /
    • 2016
  • To get high efficiency n-type crystalline silicon solar cells, passivation is one of the key factor. Tunnel oxide (SiO2) reduce surface recombination as a passivation layer and it does not constrict the majority carrier flow. In this work, the passivation quality enhanced by different chemical solution such as HNO3, H2SO4:H2O2 and DI-water to make thin tunnel oxide layer on n-type crystalline silicon wafer and changes of characteristics by subsequent annealing process and firing process after phosphorus doped amorphous silicon (a-Si:H) deposition. The tunneling of carrier through oxide layer is checked through I-V measurement when the voltage is from -1 V to 1 V and interface state density also be calculated about $1{\times}1012cm-2eV-1$ using MIS (Metal-Insulator-Semiconductor) structure . Tunnel oxide produced by 68 wt% HNO3 for 5 min on $100^{\circ}C$, H2SO4:H2O2 for 5 min on $100^{\circ}C$ and DI-water for 60 min on $95^{\circ}C$. The oxide layer is measured thickness about 1.4~2.2 nm by spectral ellipsometry (SE) and properties as passivation layer by QSSPC (Quasi-Steady-state Photo Conductance). Tunnel oxide layer is capped with phosphorus doped amorphous silicon on both sides and additional annealing process improve lifetime from $3.25{\mu}s$ to $397{\mu}s$ and implied Voc from 544 mV to 690 mV after P-doped a-Si deposition, respectively. It will be expected that amorphous silicon is changed to poly silicon phase. Furthermore, lifetime and implied Voc were recovered by forming gas annealing (FGA) after firing process from $192{\mu}s$ to $786{\mu}s$. It is shown that the tunnel oxide layer is thermally stable.

  • PDF

Dielectric Properties of $Ta_2O_{5-X}$ Thin Films with Buffer Layers

  • Kim, In-Sung;Song, Jae-Sung;Yun, Mun-Soo;Park, Chung-Hoo
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • v.12C no.4
    • /
    • pp.208-213
    • /
    • 2002
  • The present study describe the electrical performance of amorphous T $a_2$ $O_{5-X}$ fabricated on the buffer layers Ti and Ti $O_2$. T $a_2$ $O_{5-X}$ thin films were grown on the Ti and Ti $O_2$ layers as a capacitor layer using reactive sputtering method. The X-ray pattern analysis indicated that the two as-deposited films were amorphous and the amorphous state was kept stable on the RTA(rapid thermal annealing) at even $700^{\circ}C$. Measurements of dielectric properties of the reactive sputtered T $a_2$ $O_{5-X}$ thin films fabricated in two simple MIS(metal insulator semiconductor), structures, (Cu/T $a_2$ $O_{5}$ Ti/Si and CuT $a_2$ $O_{5}$ Ti $O_2$Si) show that the amorphous T $a_2$ $O_{5}$ grown on Ti showed high dielectric constant (23~39) and high leakage current density(10$^{-3}$ ~10$^{-4}$ (A/$\textrm{cm}^2$)), whereas relatively low dielectric constant (~15) and tow leakage current density(10$^{-9}$ ~10$^{-10}$ (A/$\textrm{cm}^2$)) were observed in the amorphous T $a_2$ $O_{5}$ deposited on the Ti $O_2$ layer. The electrical behaviors of the T $a_2$ $O^{5}$ thin films were attributed to the contribution of Ti- $O_2$ and the compositionally gradient Ta-Ti-0, being the low dielectric layer and high leakage current barrier. In additional, The T $a_2$ $O_{5}$ Ti $O_2$ thin films exhibited dominant conduction mechanism contributed by the Poole-Frenkel emission at high electric field. In the case of T $a_2$ $O_{5}$ Ti $O_2$ thin films were related to the diffusion of Ta, Ti and O, followed by the creation of vacancies, in the rapid thermal treated thin films.films.

Formation and Characteristics of the Fluorocarbonated SiOF Film by $O_2$/FTES-Helicon Plasma CVD Method

  • Kyoung-Suk Oh;Min-Sung Kang;Chi-Kyu Choi;Seok-Min Yun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1998.02a
    • /
    • pp.77-77
    • /
    • 1998
  • Present silicon dioxide (SiOz) 떠m as intennetal dielectridIMD) layers will result in high parasitic c capacitance and crosstalk interference in 비gh density devices. Low dielectric materials such as f f1uorina뼈 silicon oxide(SiOF) and f1uoropolymer IMD layers have been tried to s이ve this problem. I In the SiOF ftlm, as fluorine concentration increases the dielectric constant of t뼈 film decreases but i it becomes unstable and wa않r absorptivity increases. The dielectric constant above 3.0 is obtain어 i in these ftlms. Fluoropolymers such as polyte$\sigma$따luoroethylene(PTFE) are known as low dielectric c constant (>2.0) materials. However, their $\alpha$)Or thermal stability and low adhesive fa$\pi$e have h hindered 야1리ru뚱 as IMD ma따"ials. 1 The concept of a plasma processing a찌Jaratus with 비gh density plasma at low pressure has r received much attention for deposition because films made in these plasma reactors have many a advantages such as go여 film quality and gap filling profile. High ion flux with low ion energy in m the high density plasma make the low contamination and go어 $\sigma$'Oss피lked ftlm. Especially the h helicon plasma reactor have attractive features for ftlm deposition 야~au똥 of i앙 high density plasma p production compared with other conventional type plasma soun:es. I In this pa야Jr, we present the results on the low dielectric constant fluorocarbonated-SiOF film d밑JOsited on p-Si(loo) 5 inch silicon substrates with 00% of 0dFTES gas mixture and 20% of Ar g gas in a helicon plasma reactor. High density 띠asma is generated in the conventional helicon p plasma soun:e with Nagoya type ill antenna, 5-15 MHz and 1 kW RF power, 700 Gauss of m magnetic field, and 1.5 mTorr of pressure. The electron density and temperature of the 0dFTES d discharge are measUI벼 by Langmuir probe. The relative density of radicals are measured by optic허 e emission spe따'Oscopy(OES). Chemical bonding structure 3I피 atomic concentration 따'C characterized u using fourier transform infrared(FTIR) s야3띠"Oscopy and X -ray photonelectron spl:’따'Oscopy (XPS). D Dielectric constant is measured using a metal insulator semiconductor (MIS;AVO.4 $\mu$ m thick f fIlmlp-SD s$\sigma$ucture. A chemical stoichiome$\sigma$y of 야Ie fluorocarbina$textsc{k}$영-SiOF film 따~si야영 at room temperature, which t the flow rate of Oz and FTES gas is Isccm and 6sccm, res야~tvely, is form려 야Ie SiouFo.36Co.14. A d dielec$\sigma$ic constant of this fIlm is 2.8, but the s$\alpha$'!Cimen at annealed 5OOt: is obtain려 3.24, and the s stepcoverage in the 0.4 $\mu$ m and 0.5 $\mu$ m pattern 킹'C above 92% and 91% without void, res야~tively. res야~tively.

  • PDF

Electrical Characteristics of PECVD $Ta_2O_5$ Dielectic Thin Films on HSG and Rugged Polysilicon Electrodes (입체표면 폴리실리콘 전극에서 PECVD $Ta_2O_5$ 유전박막의 전기적 특성)

  • Cho, Yong-Beom;Lee, Kyung-Woo;Chun, Hui-Gon;Cho, Tong-Yul;Kim, Sun-Oo;Kim, Hyeong-Joon;Koo, Kyung-Wan;Kim, Dong-Won
    • Journal of the Korean Vacuum Society
    • /
    • v.2 no.2
    • /
    • pp.246-254
    • /
    • 1993
  • In order to increase the capacitance of storage electrode in the DRAM capacitor, two approaches were performed. First, hemispherical and rugged poly silicon films were made by LPCVD to increase the effective surface area of storage electrode. The even surface morphology of conventional poly silicon electrode was changed into the uneven surface of hemispherical of rugged poly silicon films. Second, PECVD $Ta_2O_5$ dielectric films were deposited and thermally treated to study the dielectrical characteristics of $Ta_2O_5$ film on each electrode. MIS capacitors with $Ta_2O_5$ films were electrically characterized by I-V, C-V and TDDB measurements. As a result, the capacitance of the electrode with uneven surface were increased by a factor of 1.2~1.5 and leakage current was increased compared with those of even surface. TDDB result indicates that the electrode with uneven surface has dielectrically more degraded than that of even surface. These results can be helpful as a basic research to develop new generation DRAM capacitors with $Ta_2O_5$ films.

  • PDF

Effects of Curing Temperature on the Optical and Charge Trap Properties of InP Quantum Dot Thin Films

  • Mohapatra, Priyaranjan;Dung, Mai Xuan;Choi, Jin-Kyu;Jeong, So-Hee;Jeong, Hyun-Dam
    • Bulletin of the Korean Chemical Society
    • /
    • v.32 no.1
    • /
    • pp.263-272
    • /
    • 2011
  • Highly luminescent and monodisperse InP quantum dots (QDs) were prepared by a non-organometallic approach in a non-coordinating solvent. Fatty acids with well-defined chain lengths as the ligand, a non coordinating solvent, and a thorough degassing process are all important factors for the formation of high quality InP QDs. By varying the molar concentration of indium to ligand, QDs of different size were prepared and their absorption and emission behaviors studied. By spin-coating a colloidal solution of InP QD onto a silicon wafer, InP QD thin films were obtained. The thickness of the thin films cured at 60 and $200^{\circ}C$ were nearly identical (approximately 860 nm), whereas at $300^{\circ}C$, the thickness of the thin film was found to be 760 nm. Different contrast regions (A, B, C) were observed in the TEM images, which were found to be unreacted precursors, InP QDs, and indium-rich phases, respectively, through EDX analysis. The optical properties of the thin films were measured at three different curing temperatures (60, 200, $300^{\circ}C$), which showed a blue shift with an increase in temperature. It was proposed that this blue shift may be due to a decrease in the core diameter of the InP QD by oxidation, as confirmed by the XPS studies. Oxidation also passivates the QD surface by reducing the amount of P dangling bonds, thereby increasing luminescence intensity. The dielectric properties of the thin films were also investigated by capacitance-voltage (C-V) measurements in a metal-insulator-semiconductor (MIS) device. At 60 and $300^{\circ}C$, negative flat band shifts (${\Delta}V_{fb}$) were observed, which were explained by the presence of P dangling bonds on the InP QD surface. At $300^{\circ}C$, clockwise hysteresis was observed due to trapping and detrapping of positive charges on the thin film, which was explained by proposing the existence of deep energy levels due to the indium-rich phases.