• Title/Summary/Keyword: MOSFET 측정

Search Result 173, Processing Time 0.039 seconds

Electric Characteristics and Modeling of Asymmetric n-MOSFETs for Improving Packing Density (집적도 향상을 위한 비대칭 n-MOSFET의 전기적 특성 및 모델링)

  • Gong, Dong-Uk;Lee, Jae-Seong;Nam, Gi-Hong;Lee, Yong-Hyeon
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.7
    • /
    • pp.464-472
    • /
    • 2001
  • Asymmetric n-MOSFET's for improving packing density have been fabricated with 0.35 ${\mu}{\textrm}{m}$ CMOS process. Electrical characteristics of asymmetric n-MOSFET show a lower saturation drain current and a higher linear resistance compared to those of symmetric devices. Substrate current of asymmetric MOSFET is lower than that of symmetric devices. Asymmetric n-MOSFET's have been modeled using a parasitic resistance associated with abnormally structured drain or source and a conventional n-MOSFET model. MEDICI simulation has been done for accuracy of this modeling. Simulated values of reverse as we11 as forward saturation drain current show good agreement with measured values for asymmetric device.

  • PDF

Determination of Dose Correction Factor for Energy and Directional Dependence of the MOSFET Dosimeter in an Anthropomorphic Phantom (인형 모의피폭체내 MOSFET 선량계의 에너지 및 방향 의존도를 고려하기 위한 선량보정인자 결정)

  • Cho, Sung-Koo;Choi, Sang-Hyoun;Na, Seong-Ho;Kim, Chan-Hyeong
    • Journal of Radiation Protection and Research
    • /
    • v.31 no.2
    • /
    • pp.97-104
    • /
    • 2006
  • In recent years, the MOSFET dosimeter has been widely used in various medical applications such as dose verification in radiation therapeutic and diagnostic applications. The MOSFET dosimeter is, however, mainly made of silicon and shows some energy dependence for low energy Photons. Therefore, the MOSFET dosimeter tends to overestimate the dose for low energy scattered photons in a phantom. This study determines the correction factors to compensate these dependences of the MOSFET dosimeter in ATOM phantom. For this, we first constructed a computational model of the ATOM phantom based on the 3D CT image data of the phantom. The voxel phantom was then implemented in a Monte Carlo simulation code and used to calculate the energy spectrum of the photon field at each of the MOSFET dosimeter locations in the phantom. Finally, the correction factors were calculated based on the energy spectrum of the photon field at the dosimeter locations and the pre-determined energy and directional dependence of the MOSFET dosimeter. Our result for $^{60}Co$ and $^{137}Cs$ photon fields shows that the correction factors are distributed within the range of 0.89 and 0.97 considering all the MOSFET dosimeter locations in the phantom.

Electrical Characterization of Strained Silicon On Insulator with Pseudo MOSFET (Pseudo MOSFET을 이용한 Strained Silicon On Insulator의 전기적 특성분석)

  • Bae, Young-Ho;Yuk, Hyung-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.21-21
    • /
    • 2007
  • Strained silicon 기술은 MOSFET 채널 내 캐리어 이동도를 향상시켜 집적회로의 성능을 향상시키는 기술이다. 최근에는 strained 실리콘 기술과 SOI(silicon On Insulator) 기술을 접목시켜 집적회로 소자의 특성을 더욱 향상시킨 SSOI(Strained Silicon On Insulator) 기술이 연구되고 있다. 본 연구에서는 pseudo MOSFET 측정법을 이용하여 strained SOI 웨이퍼의 전기적 특성 분석을 행하였다. pseudo MOSFET 측정법은 SOI 웨이퍼의 전기적 특성분석을 위해 고안된 방법으로써 산화, 도핑 등의 소자 제조 공정 없이도 SOI 표면 실리콘층의 이동도와 매몰산화막과의 계면 특성 등을 분석해 낼 수 있는 기술이다. 표면 실리콘층의 두께와 매몰산화막의 두께가 각각 60nm, 150nm인 SOI 웨이퍼와 동일한 막 두께를 가지며 표면 실리콘층이 strained silicon인 SSOI 웨이퍼를 제작하여 그 특성을 비교 분석하였다. Pseudo MOSFET 측정 결과 Strained SOI 웨이퍼에서 표면 실리콘총 내의 전자 이동도가 일반적인 SOI 웨이퍼보다 약 25% 향상되었으며 정공 이동도나 매몰산화막의 계면 트랩밀도는 큰 차이를 보이지 않았다.

  • PDF

New RF Empirical Nonlinear Modeling for Nano-Scale Bulk MOSFET (나노 스케일 벌크 MOSFET을 위한 새로운 RF 엠피리컬 비선형 모델링)

  • Lee, Seong-Hearn
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.43 no.12 s.354
    • /
    • pp.33-39
    • /
    • 2006
  • An empirical nonlinear model with intrinsic nonlinear elements has been newly developed to predict the RF nonlinear characteristics of nano-scale bulk MOSFET accurately over the wide bias range. Using an extraction method suitable for nano-scale MOSFET, the bias-dependent data of intrinsic model parameters have been accurately obtained from measured S-parameters. The intrinsic nonlinear capacitance and drain current equations have been empirically obtained through 3-dimensional curve-fitting to their bias-dependent curves. The modeled S-parameters of 60nm MOSFET have good agreements with measured ones up to 20GHz in the wide bias range, verifying the accuracy of the nano-scale MOSFET model.

In Vivo Dosimetry with MOSFET Detector during Radiotherapy (방사선 치료 중 MOSFET 검출기를 이용한 체표면 선량측정법)

  • Kim Won-Taek;Ki Yong-Gan;Kwon Soo-Il;Lim Sang-Wook;Huh Hyun-Do;Lee Suk;Kwon Byung-Hyun;Kim Dong-Won;Cho Sam-Ju
    • Progress in Medical Physics
    • /
    • v.17 no.1
    • /
    • pp.17-23
    • /
    • 2006
  • In Vivo dosimetry is a method to evaluate the radiotherapy; it is used to find the dosimetric and mechanical errors of radiotherapy unit. In this study, on-line In Vivo dosimetry was enabled by measuring the skin dose with MOSFET detectors attached to patient's skin during treatment. MOSFET dosimeters were found to be reproducible and independent on beam directions. MOSFET detectors were positioned on patient's skin underneath of the dose build-up material which was used to minimize dosimetric error. Delivered dose calculated by the plan verification function embedded in the radiotherapy treatment planning system (RTPs), was compared with measured data point by point. The dependency of MOSFET detector used in this study for energy and dose rate agrees with the specification provided by manufacturer within 2% error. Comparing the measured and the calculated point doses of each patient, discrepancy was within 5%. It was enabled to verify the IMRT by using MOSFET detector. However, skin dosimetry using conventional ion chamber and diode detector is limited to the simple radiotherapy.

  • PDF

High efficiency current measurement using resistor and bypass switch (바이패스 스위치와 저항을 이용한 고효율 전류측정 방법)

  • Lee, Hwa-Seok;Thayalan, I. Daniel Thena;Park, Joung-Hu
    • Proceedings of the KIPE Conference
    • /
    • 2012.07a
    • /
    • pp.103-104
    • /
    • 2012
  • 기존의 저항 전류측정 방법의 경우 샘플링이 되지 않는 시간에도 전류가 센서 저항에 흐르게 되어 전력낭비가 있었다. 본 논문에서는 센서저항에 병렬로 바이패스 MOSFET를 달아줌으로써 샘플링이 되는 시간에서는 MOSFET off동작을 통해 저항에 전류를 흐르게 하여 전류를 측정하고 샘플링이 되지 않는 시간에서는 MOSFET on동작을 통해 전류가 센서저항에 흐르지 않게 하여 전력낭비를 막고, 센서저항이 감당하는 정격전력도 낮추는 이점이 있는 저항 전류 측정방법을 제안하고자 한다.

  • PDF

Study on the overcurrent detection and blocking method of SiC MOSFET using the PCB pattern Rogowski coil (PCB패턴 Rogowski 코일을 이용한 SiC MOSFET의 과전류 검출 및 차단 기법에 관한 연구)

  • Yoon, Hanjong;Cho, Younghoon
    • Proceedings of the KIPE Conference
    • /
    • 2018.11a
    • /
    • pp.92-94
    • /
    • 2018
  • 본 논문은 SiC MOSFET 디바이스를 사용하는 전력변환장치에서 Rogowski 코일을 이용하여 SiC MOSFET 디바이스에 흐르는 전류를 측정하여, 과전류를 검출하고 게이팅 신호를 차단하는 기법에 관하여 연구한다. SiC MOSFET는 소자의 특성으로 보편적으로 사용되는 과전류 검출 방법인 DeSAT 적용이 어렵기 때문에 Rogowski 코일을 사용하여 스위치 전류를 측정, 과전류를 검출한다. 본 논문에서는 PCB패턴 Rogowski 코일의 설계 방법뿐만 아니라 Rogowski 코일과 적분기의 대역폭에 대해서도 논의한다. 실험은 직류링크 커패시터에 SiC MOSFET 스위치 레그를 병렬로 연결하고, 직류링크 커패시터에 직류전압을 충전 후 스위치 레그를 약 6us정도 단락시켜 SiC MOSFET에 과전류를 발생시킨다. 이 때, 제안한 Rogowski 코일을 이용한 과전류 검출 및 차단 기법의 적용 전후를 비교하여 동작 및 성능(검출 및 차단 소요시간)을 확인한다. 마지막으로 실험 결과를 통해 본 논문에서 제안한 PCB패턴 Rogowski 코일을 이용하여 과전류 검출 및 차단 기법이 검증되었다.

  • PDF

The implementation of a Gd-pMOSFET thermal neutron detector and the enhancement of its sensitivity (Gd-pMOSFET 열중성자 측정기 구현 및 감도개선)

  • Lee, Nam-Ho;Kim, Seung-Ho
    • Proceedings of the KIEE Conference
    • /
    • 2005.10b
    • /
    • pp.430-432
    • /
    • 2005
  • 저에너지 중성자가 가톨리늄(Gd) 막에 입사되면 중성자 포획과정에서 전환전자가 생성된다. 이 전환전자에 의해 pMOSFET $SiO_2$ 산화층에서 발생된 전자-전공쌍이 발생되고, 이 가운데 정공은 산화층 내부에 쉽게 붙잡혀(Trap) 양전하 센터로 작용하게 된다. 이 축적된 전하는 pMOSFET의 문턱전압(Threshold voltage)을 변화시킨다. 본 연구에서는 이러한 간접측정 원리를 이용하여 열중성자를 실기간 탐지할 수 있는 반도체형 탐지소자를 개발하고 하나로(HANARO) 방사선장에서의 시험을 통해 성능을 검증하였다. 그리고 감도관련 변수의 최적화를 통하여 작업자가 사용 가능한 범위의 고감도 열중성자 선량계로 개선 제작하였다. 개발된 선량계는 소형으로 실시간 열중성자 측정이 가능하며 감마방사선으로부터 독립적으로 열중성자를 측정할 수 있는 장점도 지니고 있다.

  • PDF

Thermal Characteristics according to Trench Etch angle of Super Junction MOSFET (Super Junction MOSFET의 트렌치 식각 각도에 따른 열 특성 분석에 관한 연구)

  • Kang, Ey Goo
    • Journal of IKEEE
    • /
    • v.18 no.4
    • /
    • pp.532-535
    • /
    • 2014
  • This paper analyzed thermal characteristics of super junction MOSFET using process and design parameters. Trench process is very important to super junction MOSFET process. We analyzed the difference of temperature, thermal resistance, total power consumption according to trench etch angle. As a result we obtained minimum value of temperature difference and thermal resistance at $89.3^{\circ}$ of trench etch angle. The electrical characteristics distribution of super junction MOSFET is not showed tendency according to trench etch angle. We need iterative experiments and simulation for optimal value of electrical characteristics. The super junction power MOSFET that has superior thermal characteristics will use automobile and industry.

Evaluation of the Breast plan using the TLD and Mosfet for the skin dose (열형광선량계(TLD)와 MOSFET을 이용한 유방암 방사선치료계획에 대한 피부선량 평가)

  • Kim, seon myeong;Kim, young bum;Bak, sang yun;Lee, sang rok;Jeong, se young
    • The Journal of Korean Society for Radiation Therapy
    • /
    • v.27 no.2
    • /
    • pp.107-113
    • /
    • 2015
  • Purpose : The measurement of skin dose is very important that treatment of breast cancer. On account of the cold or hot dose as compared with prescription dose, it is necessary to analyse the skin dose occurring during the various plan of the breast cancer treatment. At our hospital, we want to apply various analyses using a diversity of dosimeters to the breast cancer treatment. Subjectss and Methods : In the study, the anthropomorphic phantom is used to find out the dose difference of the skin(draining site), scar and others occurring from the tangential treatment plan of breast cancer. We took computed tomography scan of the anthropomorphic phantom and made plans for the treatment planing using open and wedge, Field-in-Field, Dose fluence. Using these, we made a comparative analysis of the dose date points by using the Eclipse. For the dose comparison, we place the anthropomorphic phantom in the treatment room and compared the measurement results by using the TLD and MOSFET on the dose data points. Results : On the central point of treatment planing basis, the upward and downward skin dose measured by the MOSFET was the highest when the fluence was used. The skin dose of inner and outer was distinguished from the figure(5.7% ~ 10.3%) when the measurements were fulfilled by using TLD and MOSFET. The other side of breast dose was the lowest in the open beam, on the other hand, is highest in the Dose fluence plan. In the different kinds of treatment, the dose deviation of inner and outer was the highest, and so this was the same with the TLD and MOSFET measurement case. The outer deviation was highest in the TLD, and the Inner'was highest in the MOSFET. Conclusion : Skin dose in relation to the treatment plan was the highest in the planing using the fluence technique in general and it was supposed that the high dose had been caused by the movement of the MLC. There's some differences among the all the treatment planning, but the sites such as IM node occurring the lack of dose, scar, drain site are needed pay close attention. Using the treatment planning of dose fluence is good to compensate the lack of dose, but It increases the dose of the selective range rather than the overall dose. Therefore, choosing the radiotherapy technique is desirable in the lights of the age and performance of the patient.

  • PDF