• Title/Summary/Keyword: Interface Synthesis

Search Result 257, Processing Time 0.025 seconds

Novel Fabrication of Designed Silica Structures Inspired by Silicatein-a

  • Park, Ji-Hun;Kwon, Sun-Bum;Lee, Hee-Seung;Choi, In-Sung S.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.557-557
    • /
    • 2012
  • Silicatein-${\alpha}$, the enzyme extracted from silica spicules in glass sponges, has been studied extensively in the way of chemistry from 1999, in which the pioneering work by Morse, D. E. - the discovery of the enzymatic hydrolysis in Silicatein-${\alpha}$ - was published. Since its reaction conditions are physiologically favored, synthesis of various materials, such as gallium oxide, zirconium oxide, and silicon oxide, was achieved without any hazardous wastes. Although some groups synthesized oxide films and particles, they have not achieved yet controlled morphogenesis in the reaction conditions mentioned above. With the knowledge of catalytic triad involved in hydrolysis of silicone alkoxide and oligomerization of silicic acid, we designed the novel peptide amphiphiles to not only form self-assembled structure, but also display similar activities to silicatein-${\alpha}$. Designed templates were able to self-assemble into left-handed helices for the peptide amphiphiles with L-form amino acid, catalyzing polycondensation of silicic acids onto the surface of them. It led to the formation of silica helices with 30-50 nm diameters. These results were characterized by various techniques, including SEM, TEM, and STEM. Given the situation that nano-bio-technology, the bio-applicable technology in nanometer scale, has been attracting considerable attention; this result could be applied to the latest applications in biotechnology, such as biosensors, lab-on-a-chip, biocompatible nanodevices.

  • PDF

Synthesis and Characterization of Poly(Urethane-Methyl methacrylate) Hybrid Emulsion as a Plastic Coating Resin (플라스틱 코팅용 Poly(Urethane-MMA) 혼성 에멀젼 합성 및 특성 연구)

  • Yeom, Ji-Yoon;Baek, Kyung-Hyun;Lee, Jun-Young;Yi, Gyoung-Bae;Yoo, Byung-Won;Kim, Jung-Hyun
    • Journal of Adhesion and Interface
    • /
    • v.8 no.1
    • /
    • pp.8-14
    • /
    • 2007
  • Poly(urethane-methyl methacrylate) hybrid emulsions can be controlled with their thermal, mechanical and anti-chemical properties as plastic coating materials. In this study, water dispersed poly(urethane-methyl methacrylate) hybrid emulsions were prepared by prepolymer synthesis and soap free emulsion polymerization. For imparting hydrophilicity on polyurethane prepolymer, 2,2-bis (hydroxymethyl) propionic acid was added to the polyurethane prepolymer with methyl methacrylate monomer and was neutralizated by triethylamine (TEA). After neutralization, the prepolymer mixture was dispersed in the water phase with stable droplets. The synthesis was carried out with chain extension from the ethylene diamine and initiation of methyl methacrylate by soap free emulsion polymerization. Stable poly(urethane-methyl methacrylate) hybrid emulsion was successfully obtained with different synthetic conditions and acrylic monomer contents. Poly(urethane-methyl methacrylate) hybrid emulsion were characterized and compared with tensile strength, viscosity, and adhesion properties.

  • PDF

Lip Shape Synthesis of the Korean Syllable for Human Interface (휴먼인터페이스를 위한 한글음절의 입모양합성)

  • 이용동;최창석;최갑석
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.19 no.4
    • /
    • pp.614-623
    • /
    • 1994
  • Synthesizing speech and facial images is necessary for human interface that man and machine converse naturally as human do. The target of this paper is synthesizing the facial images. In synthesis of the facial images a three-dimensional (3-D) shape model of the face is used for realizating the facial expression variations and the lip shape variations. The various facial expressions and lip shapes harmonized with the syllables are synthesized by deforming the three-dimensional model on the basis of the facial muscular actions. Combications with the consonants and the vowels make 14.364 syllables. The vowels dominate most lip shapes but the consonants do a part of them. For determining the lip shapes, this paper investigates all the syllables and classifies the lip shapes pattern according to the vowels and the consonants. As the results, the lip shapes are classified into 8 patterns for the vowels and 2patterns for the consonants. In advance, the paper determines the synthesis rules for the classified lip shape patterns. This method permits us to obtain the natural facial image with the various facial expressions and lip shape patterns.

  • PDF

Development of Novel Pyrrolidine Organocatalyst

  • Im, Seol-Hui;Gang, Seong-Ho
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.08a
    • /
    • pp.198-198
    • /
    • 2011
  • Organocatalysis is a relatively new and popular area within the field of chiral molecule synthesis. It is one of the main branches of enantioselective synthesis with enzymatic and organometallic catalysis. In recent years, immense high quality studies on catalysis by chiral secondary amines were reported. These progresses instantly led to different organocatalytic activation concepts, so thousands of researchers from academia and the chemical industry are currently involved in this field and new ideas, new approaches, and creative thinking have been rapidly emerged. Organocatalysts, some of which are natural products, appear to solve the problems of metal catalysts. Compared to metal-based catalysis, they have many advantages including savings in cost, time, and energy, easier experimental procedure, and reduction of chemical waste. These benefits originate from the following factors. First, organocatalysts are generally stable in oxygen and water in the atmosphere, there is no need for special equipments or experimental techniques to operate under anhydrous or anaerobic conditions. Second, organic reagents are naturally available from biological materials as single enantiomers that they are easy and cheap to prepare which makes them suitable for small-scale to industrial-scale reactions. Third, in terms of safety related catalysis, small organic molecules are non-toxic and environmentally friendly. Therefore, the purpose of this research is to develop novel synthetic methods and design for various organocatalyst. Furthermore, it is expected that these organocatalysts can be applied to a variety of asymmetric reactions and study the transition state of these reactions using a metal sulface. Here, we report the synthesis of unprecedented organocatalysts, proline and pyrrolidine derivatives with quaternary carbon center.

  • PDF

Functional Verification of the Solar Panel Separation Mechanism for Pico-Class Satellite Applications Using Spring-loaded Pogo-pin (포고핀을 활용한 극초소형 위성용 태양전지판 분리장치의 기능검증)

  • Kim, Su-Hyeon;Jeon, Young-Hyeon;Kim, Hong-Rae;Oh, Hyun-Ung
    • Journal of Aerospace System Engineering
    • /
    • v.12 no.5
    • /
    • pp.69-75
    • /
    • 2018
  • In this study, we proposed a nylon wire cutting-type solar panel separation mechanism for CubeSat applications using spring-loaded pogo-pins, which has been widely used as temporary electrical interface between two separate electronics. The mechanism proposed in this study has great advantages of higher holding capability, ability to constrain along in-plane and out-of-plane directions of solar panels, simplicity in tightening of nylon wire and synchronous separation of multiple panels. In addition, the pogo-pins used for the proposed mechanism act as electrical power interface, separation status switch and separation spring. In this study, the functionality of the proposed mechanism was validated through the separation tests with various number of nylon wire windings.

The study of sound source synthesis IC to realize the virtual engine sound of a car powered by electricity without an engine (엔진 없이 전기로 구동되는 자동차의 가상 엔진 음 구현을 위한 음원합성 IC에 관한 연구)

  • Koo, Jae-Eul;Hong, Jae-Gyu;Song, Young-Woog;Lee, Gi-Chang
    • The Journal of the Acoustical Society of Korea
    • /
    • v.40 no.6
    • /
    • pp.571-577
    • /
    • 2021
  • This study is a study on System On Chip (SOC) that implements virtual engine sound in electric vehicles without engines, and realizes vivid engine sound by combining Adaptive Difference PCM (ADPCM) method and frequency modulation method for satisfaction of driver's needs and safety of pedestrians. In addition, by proposing an electronic sound synthesis algorithm applying Musical Instrument Didital Interface (MIDI), an engine sound synthesis method and a constitutive model of an engine sound generation system are presented. In order to satisfy both drivers and pedestrians, this study uses Controller Area Network (CAN) communication to receive information such as Revolution Per Minute (RPM), vehicle speed, accelerator pedal depressed amount, torque, etc., transmitted according to the driver's driving habits, and then modulates the frequency according to the appropriate preset parameters We implemented an interaction algorithm that accurately reflects the intention of the system and driver by using interpolation for the system, ADPCM algorithm for reducing the amount of information, and MIDI format information for making engine sound easier.

An Efficient Response Analysis Method for a Structural System Using Substructure Modes (부분구조의 모드를 이용한 구조계의 효율적 응답해석)

  • 김형근;박윤식
    • Transactions of the Korean Society of Mechanical Engineers
    • /
    • v.17 no.5
    • /
    • pp.1084-1094
    • /
    • 1993
  • An efficient method for determining forced responses of a general linear structural system in time domain using subtructure modes and Lagrange multipliers is presented. Compared with the conventional mode synthesis methods, the suggested method does not construct the equations of motion of the combined whole structure and thus the modal parameters of the whole structure are not required. Only modal parameters of each substructure and geometric compatibility conditions are needed. Both the loaded interface free-free modes and free interface modes can be employed as the modal bases of each substructure. Recurrence discrete-time state equations based upon state transition matrix are formulated for the transient analysis of a parameter-changing system. It is shown form numerical examples that the suggested method is very accurate and efficient to calculate transient responses compares with the direct numerical integration method.

Host Interface Design for TCP/IP Hardware Accelerator (TCP/IP Hardware Accelerator를 위한 Host Interface의 설계)

  • Jung, Yeo-Jin;Lim, Hye-Sook
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.30 no.2B
    • /
    • pp.1-10
    • /
    • 2005
  • TCP/IP protocols have been implemented in software program running on CPU in end systems. As the increased demand of fast protocol processing, it is required to implement the protocols in hardware, and Host Interface is responsible for communication between external CPU and the hardware blocks of TCP/IP implementation. The Host Interface follows AMBA AHB specification for the communication with external world. For control flow, the Host Interface behaves as a slave of AMBA AHB. Using internal Command/status Registers, the Host Interface receives commands from CPU and transfers hardware status and header information to CPU. On the other hand, the Host Interface behaves as a master for data flow. Data flow has two directions, Receive Flow and Transmit Flow. In Receive Flow, using internal RxFIFO, the Host Interface reads data from UDP FIFO or TCP buffer and transfers data to external RAM for CPU to read. For Transmit Flow, the Host Interface reads data from external RAM and transfers data to UDP buffer or TCP buffer through internal TxFIFO. TCP/IP hardware blocks generate packets using the data and transmit. Buffer Descriptor is one of the Command/Status Registers, and the information stored in Buffer Descriptor is used for external RAM access. Several testcases are designed to verify TCP/IP functions. The Host Interface is synthesized using the 0.18 micron technology, and it results in 173 K gates including the Command/status Registers and internal FIFOs.

Design of General Peripheral Interface Using Serial Link (직렬 링크 방식의 주변 장치 통합 인터페이스 설계)

  • Kim, Do-Seok;Chung, Hoon-Ju;Lee, Yong-Hwan
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.4 no.1
    • /
    • pp.68-75
    • /
    • 2011
  • The performance of peripheral devices is improving rapidly to meet the needs of users for multimedia data. Therefore, the peripheral interface with wide bandwidth and high transmission rate becomes necessary to handle large amounts of data in real time for multiple high-performance devices. PCI Express is a fast serial interface with the use of packets that are compatible with previous PCI and PCI-X. In this paper, we design and verify general peripheral interface using serial link. It includes two kinds of traffic class (TC) labels which are mapped to virtual channels (VC). The design adopts TC/VC mapping and the scheme of arbitration by priority. The design uses a packet which can be transmitted through up to four transmission lanes. The design of general peripheral interface is described in Verilog HDL and verified using ModelSim. For FPGA verification, Xilinx ISE and SPARTAN XC3S400 are used.We used Synopsys Design Compiler as a synthesis tool and the used library was MagnaChip 0.35um technology.

Singing Voice Synthesis Using HMM Based TTS and MusicXML (HMM 기반 TTS와 MusicXML을 이용한 노래음 합성)

  • Khan, Najeeb Ullah;Lee, Jung-Chul
    • Journal of the Korea Society of Computer and Information
    • /
    • v.20 no.5
    • /
    • pp.53-63
    • /
    • 2015
  • Singing voice synthesis is the generation of a song using a computer given its lyrics and musical notes. Hidden Markov models (HMM) have been proved to be the models of choice for text to speech synthesis. HMMs have also been used for singing voice synthesis research, however, a huge database is needed for the training of HMMs for singing voice synthesis. And commercially available singing voice synthesis systems which use the piano roll music notation, needs to adopt the easy to read standard music notation which make it suitable for singing learning applications. To overcome this problem, we use a speech database for training context dependent HMMs, to be used for singing voice synthesis. Pitch and duration control methods have been devised to modify the parameters of the HMMs trained on speech, to be used as the synthesis units for the singing voice. This work describes a singing voice synthesis system which uses a MusicXML based music score editor as the front-end interface for entry of the notes and lyrics to be synthesized and a hidden Markov model based text to speech synthesis system as the back-end synthesizer. A perceptual test shows the feasibility of our proposed system.