• Title/Summary/Keyword: ICP Etching

Search Result 297, Processing Time 0.054 seconds

Direct printing process based on nanoimprint lithography to enhance the light extraction efficiency of AlGaInP based red LEDs

  • Cho, Joong-Yeon;Kim, Jin-Seung;Kim, Gyu-Tae;Lee, Heon
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2012.11a
    • /
    • pp.171-171
    • /
    • 2012
  • In this study, we fabricated the high-brightness AlGaInP-based red light emitting diodes (LED)s using by direct printing technique and inductive coupled plasma (ICP) reactive ion etching (RIE). In general, surface roughening was fabricated by wet etching process to improve the light extraction efficiency of AlGaInP-based red LED. However, a structure of the surface roughening, which was fabricated by wet etching, was tiled cone-shape after wet etching process due to crystal structure of AlGaInP materials, which was used as top-layer of red LED. This tilted cone-shape of surface roughening can improve the light extraction of LED, but it caused a loss of the light extraction efficiency of LED. So, in this study, we fabricated perfectly cone shaped pattern using direct printing and dry etching process to maximize the light extraction efficiency of LED. Both submicron pattern and micron pattern was formed on the surface of red LED to compare the enhancement effect of light extraction efficiency of LEDs according to the diameter of sapphire patterns.After patterning process using direct printing and ICP-RIE proceeded on the red LED, light output was enhanced up to 10 % than that of red LED with wet etched structure. This enhancement of light extraction of red LED was maintained after packaging process. And as a result of analyze of current-voltage characteristic, there is no electrical degradation of LED.

  • PDF

High Density Inductively Coupled Plasma Etching of III-V Semiconductors in BCI3Ne Chemistry (BCI3Ne 혼합가스를 이용한 III-V 반도체의 고밀도 유도결합 플라즈마 식각)

  • 백인규;임완태;이제원;조관식
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.16 no.12S
    • /
    • pp.1187-1194
    • /
    • 2003
  • A BCl$_3$/Ne plasma chemistry was used to etch Ga-based (GaAs, AIGaAs, GaSb) and In-based (InGaP, InP, InAs and InGaAsP) compound semiconductors in a Planar Inductively Coupled Plasma (ICP) reactor. The addition of the Ne instead of Ar can minimize electrical and optical damage during dry etching of III-V semiconductors due to its light mass compared to that of Ar All of the materials exhibited a maximum etch rate at BCl$_3$ to Ne ratios of 0.25-0.5. Under all conditions, the Ga-based materials etched at significantly higher rates than the In-based materials, due to relatively high volatilities of their trichloride etch products (boiling point CaCl$_3$ : 201 $^{\circ}C$, AsCl$_3$ : 130 $^{\circ}C$, PCl$_3$: 76 $^{\circ}C$) compared to InCl$_3$ (boiling point : 600 $^{\circ}C$). We obtained low root-mean-square(RMS) roughness of the etched sulfate of both AIGaAs and GaAs, which is quite comparable to the unetched control samples. Excellent etch anisotropy ( > 85$^{\circ}$) of the GaAs and AIGaAs in our PICP BCl$_3$/Ne etching relies on some degree of sidewall passivation by redeposition of etch products and photoresist from the mask. However, the surfaces of In-based materials are somewhat degraded during the BCl$_3$/Ne etching due to the low volatility of InCl$_{x}$./.

High Density Inductively Coupled Plasma Etching of InP in BCl3-Based Chemistries (BCl3 기반의 혼합가스들을 이용한 InP 고밀도 유도결합 플라즈마 식각)

  • Cho, Guan-Sik;Lim, Wan-tae;Baek, In-Kyoo;Lee, Je-won;Jeon, Min-hyun
    • Korean Journal of Materials Research
    • /
    • v.13 no.12
    • /
    • pp.775-778
    • /
    • 2003
  • We studied InP etching in high density planar inductively coupled $BCl_3$and $BCl_3$/Ar plasmas(PICP). The investigated process parameters were PICP source power, RIE chuck power, chamber pressure and $BCl_3$/Ar gas composition. It was found that increase of PICP source power and RIE chuck power increased etch rate of InP, while that of chamber pressure decreased etch rate. Etched InP surface was clean and smooth (RMS roughness <2 nm) with a moderate etch rate (300-500 $\AA$/min) after the planar $BCl_3$/Ar ICP etching. It may make it possible to open a new regime of InP etching with $CH_4$$H_2$-free plasma chemistry. Some amount of Ar addition (<50%) also improved etch rates of InP, while too much Ar addition reduced etch rates of InP.

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

Study of Dry Etching of SnO thin films using a Inductively Coupled Plasma (Inductively Coupled Plasma를 이용한 SnO 박막의 식각 특성 연구)

  • Kim, Su-Kon;Park, Byung-Ok;Lee, Joon-Hyung;Kim, Jeong-Joo;Heo, Young-Woo
    • Journal of the Korean institute of surface engineering
    • /
    • v.49 no.1
    • /
    • pp.98-103
    • /
    • 2016
  • The dry etching characteristics of SnO thin films were investigated using inductively coupled plasma (ICP) in Ar, $CF_4$, $Cl_2$ chemistries. the SnO thin films were deposited by reactive rf magnetron sputtering with Sn metal target. In order to study the etching rates of SnO, the processing factors of processing pressure, source power, bias power, and etching gas were controlled. The etching behavior of SnO films under various conditions was obtained and discussed by comparing to that of $SiO_2$ films. In our results, the etch rate of SnO film was obtained as 94nm/min. The etch rates were mainly affected by physical etching and the contribution of chemical etching to SnO films appeared relatively week.

Comparison of characteristics of silver-grid transparent conductive electrodes for display devices according to fabrication method (제조공법에 따른 디스플레이 소자용 silver-grid 투명전극층의 특성 비교)

  • Choi, Byoung Su;Choi, Seok Hwan;Ryu, Jeong Ho;Cho, Hyun
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.27 no.2
    • /
    • pp.75-79
    • /
    • 2017
  • Honeycomb-shaped Ag-grid transparent conductive electrodes (TCEs) were fabricated using two different processes, high density plasma etching and lift-off, and the optical and electrical properties were compared according to the fabrication method. For the fabrication of the Ag-grid TCEs by plasma etching, etch characteristics of the Ag thin film in $10CF_4/5Ar$ inductively coupled plasma (ICP) discharges were studied. The Ag etch rate increased as the power increased at relatively low ICP source power or rf chuck power conditions, and then decreased at higher powers due to either decrease in $Ar^+$ ion energy or $Ar^+$ ion-assisted removal of the reactive F radicals. The Ag-grid TCEs fabricated by the $10CF_4/5Ar$ ICP etching process showed better grid pattern transfer efficiency without any distortion or breakage in the grid pattern and higher optical transmittance values of average 83.3 % (pixel size $30{\mu}m/line$ width $5{\mu}m$) and 71 % (pixel size $26{\mu}m/line$ width $8{\mu}m$) in the visible range of spectrum, respectively. On the other hand, the Ag-grid TCEs fabricated by the lift-off process showed lower sheet resistance values of $2.163{\Omega}/{\square}$ (pixel size $26{\mu}m/line$ width $18{\mu}m$) and $4.932{\Omega}/{\square}$ (pixel size $30{\mu}m/line$ width $5{\mu}m$), respectively.

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • Jang, Hae-Gyu;Kim, Dae-Gyeong;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF