• 제목/요약/키워드: Graphic processor

검색결과 120건 처리시간 0.027초

차량동역학 해석 프로그램 AutoDyn7의 개발(∥) - 전처리 및 후처리 프로그램 (Developemtn of Vehicle Dynamics Program AutoDyn7(II) - Pre-Processor and Post-Processor)

  • 한종규;김두현;김성수;유완석;김상섭
    • 한국자동차공학회논문집
    • /
    • 제8권3호
    • /
    • pp.190-197
    • /
    • 2000
  • A graphic vehicle modeling pre-processing program and a visualization post-processing program have been developed for AutoDyn7, which is a special program for vehicle dynamics. The Rapid-App for GUI(Graphic User Interface) builder and the Open Inventor for 3D graphic library have been employed to develop these programs in Silicon Graphics workstation. A Graphic User Interface program integrates vehicle modeling pre-processor, AutoDyn7 analysis processor, and visualization post-processor. In vehicle modeling pre-processor, vehicle hard point data for a suspension model are automatically converted into multibody vehicle system data. An interactive graphics capabilities provides suspension modeling aides to verify user input data interactively. In visualization post-processor, vehicle virtual test simulation results are animated with virtual testing environments.

  • PDF

행렬 벡터 연사용 1-차원 시스톨릭 어레이 프로세서를 이용한 그래픽 가속기의 설계 (Design of a Graphic Accelerator uisng 1-Dimensional Systolic Array Processor for Matrix.Vector Opertion)

  • 김용성;조원경
    • 전자공학회논문지B
    • /
    • 제30B권1호
    • /
    • pp.1-9
    • /
    • 1993
  • In recent days high perfermance graphic operation is needed, since computer graphics is widely used for computer-aided design and simulator using high resolution graphic card. In this paper a graphic accelerator is designd with the functions of graphic primitives generation and geometrical transformations. 1-D Systolic Array Processor for Matris Vector operation is designed and used in main ALU of a graphic accelerator, since these graphic algorithms have comonon operation of Matris Vector. Conclusively, in case that the resolution of graphic domain is 800$\times$600, and 33.3nsec operator is used in a graphic accelerator, 29732 lines per second and approximately 6244 circles per second is generated.

  • PDF

실시간 영상처리 기능을 갖는 고속 칼라 그래픽 프로세서의 개발 (Development of a High-speed Color Graphic Processor with a Real-time Image processing Capability)

  • 변중남;오상록;장원;유범재;박종철;하경호
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1990년도 추계학술대회 논문집 학회본부
    • /
    • pp.443-445
    • /
    • 1990
  • In this paper, a high speed graphic processor module with a real-time processing capability is proposed, where the module is design to be compatible to the standard VME bus and consists of TMS34010 Graphic processor, TMS44C251 frame buffer, 512KB system memory and BT101 digital to analog converter. The proposed graphic module is implemented and tested in real-time via experiments with an integrated system with other VME modules.

  • PDF

전방시현기 및 다기능시현기 구동을 위한 그래픽 영상생성기 설계 연구 (Design of Graphic Generator for Driving HUD(Head-Up Display) and MFD(Multi-Function Display))

  • 황상현;이재억;박덕배
    • 한국군사과학기술학회지
    • /
    • 제5권2호
    • /
    • pp.72-82
    • /
    • 2002
  • This paper presents the design technology of a Graphic Generator which drives the embedded aircraft display equipments such as HUD(Head-Up Display) and MFD (Multi-Function Display) those provide pilot with the most important mission information. The main issue of this design is how we can implement the real-time embedded graphic generator using a general purpose processor as a substitute for the obsolete the production of specific graphic processor in the military market. So we proposed two kinds of method that one is a software solution so called graphic kernel system, interpreting the display file, controlling the graphic system and pre-processing graphic primitives, the other is a hardware solution so called graphic engine, interpreting passed commands through the graphic kernel system, post-processing the looping calculation taking much of time as implemented by software. We have tested and verified the functionalities and the required performance of Graphic Generator.

그래픽 프로세서를 이용한 병렬연산 기반 해무 제거 고속화 (Acceleration for Removing Sea-fog using Graphic Processors and Parallel Processing)

  • 김영두;곽재민;서영호;최현준
    • 한국항행학회논문지
    • /
    • 제21권5호
    • /
    • pp.485-490
    • /
    • 2017
  • 본 논문에서는 그래픽 프로세서를 이용하여 고속으로 해무를 제거하는 기술을 제안한다. 이 기술은 호스트 프로세서(CPU)와 병렬처리가 가능한 여러 개의 그래픽 프로세서를 이용하여 입력영상에서 해무를 제거하는 것이다. 해무를 제거하는 과정 중에서 다크 채널 추출, 최대 밝기 채널 추출, 전달량 계산은 호스트 프로세서에서 수행하고, 양방향 필터를 적용하여 전달량을 정제하는 과정을 그래픽 프로세서를 기반으로 병렬처리하여 연산속도를 높였다. 제안한 병렬처리 기법의 검증을 위해 NVIDIA사의 GTX 1070 GPU를 3개를 사용하여 검증환경을 구성하였다. 구현결과 하나의 그래픽 프로세서로 구현하였을 때는 평균 140ms가 소요되고, OpenMP와 다중 GPGPU를 이용하여 구현하였을 때 26ms 소요되었다. 본 논문에서 제안하는 그래픽 프로세서 기반의 병렬연산 해무제거 기술은 선박의 안전항해, 항만 관제 분야에 사용될 수 있을 것이다.

현가장치 설계용 그래픽 사용자 접속 프로그램 개발 (Development of a Graphic User Interface Program for Suspension Design)

  • 김회관;유홍희;최경록;이명식
    • 한국자동차공학회논문집
    • /
    • 제6권6호
    • /
    • pp.7-14
    • /
    • 1998
  • A graphic user interface program for the design of vehicle suspension system is developed in this work. Graphic templates are designed by using a graphic language and a library and given to users for interactive data input. Several suspension types are graphically given, and the information of hard points can be directly provided on the graphic templates by users. The information is saved in a data structure which can be efficiently accessed, and transformed into another data format. The data transformation is for the interface to an analyzer by which suspension design characteristics can be calculated.

  • PDF

내장형 GPU 환경에서 CPU-GPU 간의 공유 캐시에서의 캐시 분할 방식의 필요성 (The Need of Cache Partitioning on Shared Cache of Integrated Graphics Processor between CPU and GPU)

  • 성한울;엄현상;염헌영
    • 정보과학회 컴퓨팅의 실제 논문지
    • /
    • 제20권9호
    • /
    • pp.507-512
    • /
    • 2014
  • 최근 전력의 한계 때문에 많은 트랜지스터를 모두 이용할 수 없는 '다크실리콘' 문제가 발생했다. 이 문제를 효율적으로 해결하기 위하여 CPU(Central processing unit)와 GPU(Graphic processing unit)를 함께 사용하여 분산처리하기 시작했다. 최근에는 CPU(Central processing unit)와 GPU(Graphic processing unit)가 메모리와 Last Level Cache를 공유하는 내장형 GPU 프로세서(Integrated graphic processing unit processor)가 등장했다. 하지만 CPU 프로세스와 GPU 프로세스가 LLC(Last level cache)로 접근하기 위한 어떠한 규칙이 없기 때문에, 동시에 CPU 프로세스와 GPU 프로세스 수행될 때 LLC(Last level cache)를 차지하기 위한 경쟁이 일어나 성능 저하가 발생한다. 본 논문에서는 캐시 접근 빈도가 큰 여러 개의 프로세스들이 수행됨에 따라 캐시 오염이 발생한 상황에서 GPU 프로세스의 성능 보장을 위하여 GPU 프로세스만을 위한 고정된 Last Level Cache 공간을 주는 캐시 분할방식이 필요함을 증명하고 캐시를 분할하기 위한 페이지 컬러링 기법을 소개하고 디자인한다.

전자 출판 시스템에 사용되는 고해상도 문자의 발생을 가속시키기 위한 한글 그래픽 보드의 설게 및 제작 (Design and Implementation of Hangul Graphic Board to Speed up the Generation of High Resolution Fonts used in Electric Public System)

  • 황규철;경종민
    • 대한전자공학회논문지
    • /
    • 제27권5호
    • /
    • pp.802-807
    • /
    • 1990
  • In this thesis, we represent the study on the design and implementation of the hangul graphic board which generate bit map font data from the boundary information of korean or chines fonts. The implemented graphic board consists of a TMS34010 Graphic System Processor (GSP) and two TMS320C25 Digital Signal Processor (DSP), and there is shared memory which consists of two memory blocks with same address for which is possible parallel processing between two processors. And in using DSP, we propose an efficient algorithm for calculation of Bezier curve which require much times to calculate bit map data font from the boundary information.

  • PDF

SIMD 프로그래머블 셰이더를 위한 멀티포트 레지스터 파일 설계 및 구현 (Multi-Port Register File Design and Implementation for the SIMD Programmable Shader)

  • 윤완오;김경섭;정진하;최상방
    • 대한전자공학회논문지SD
    • /
    • 제45권9호
    • /
    • pp.85-95
    • /
    • 2008
  • 3D 그래픽 알고리즘은 특성상 방대한 양의 스트림 데이터에 대하여 복잡한 연산을 수행하여야 한다. 이러한 알고리즘을 하드웨어에서 신속하게 수행할 수 있는 버텍스 셰이더와 픽셀 세이더의 도입으로 그래픽 프로세서는 "소프트웨어 셰이더의 하드웨어화"라는 목표를 어느 정도 달성한 것처럼 보이지만, 여전히 Z-버퍼 기반이라는 특정 알고리즘의 틀에서 벗어나지 못하고 있다. 향후 그래픽 프로세서가 궁극적으로 추구하는 모델은 알고리즘에 독립적인 그리고 버텍스 셰이더와 픽셀 셰이더가 통합된 셰이더로 발전할 것이다. 본 논문에서는 프로그래머블 통합 셰이더 프로세서에서 고성능 3차원 컴퓨터 그래픽 영상을 지원하기 위한 멀티포트 레지스터 파일 모델을 설계하고 구현하였다. 설계한 멀티포트 레지스터 파일을 기능적 레벨에서 시뮬레이션을 하여 그 성능을 검증 하였으며, FPGA Virtex-4(xc4vlx200)에 직접 구현하여 하드웨어 리소스 사용율과 속도를 확인 하였다.

SIMD 프로그래머블 통합 셰이더를 위한 제어 유닛 설계 및 구현 (Control Unit Design and Implementation for SIMD Programmable Unified Shader)

  • 김경섭;이윤섭;유병철;정진하;최상방
    • 대한전자공학회논문지SD
    • /
    • 제48권7호
    • /
    • pp.37-47
    • /
    • 2011
  • 그래픽 프로세서의 발달로 실사 수준의 고품질 컴퓨터 그래픽은 여러 분야에 다양한 용도로 사용되고 있으며, 그래픽 프로세서의 핵심 중 하나인 셰이더 프로세서는 프로그램 가능한 통합 셰이더로 발전하였다. 그러나 현재의 상용 그래픽 프로세서들은 특정한 알고리즘에 최적화되어 있어 다양한 알고리즘의 개발을 위해서는 독립적인 셰이더 프로세서가 필요하다. 본 논문에서는 프로그래머블 통합 셰이더 프로세서에서 DirectX 셰이더 어셈블리 명령어를 수행할 수 있는 고성능 3차원 컴퓨터 그래픽 영상을 지원하기 위한 제어 유닛을 설계하고 구현하였다. 설계한 제어 유닛은 기능적 레벨에서 시뮬레이션을 통하여 그 성능을 검증 하였으며, FPGA Virtex-4에 구현하여 하드웨어 리소스 사용율을 확인하고 ASIC 라이브러리를 적용하여 동작속도를 확인 하였다. 또한 비슷한 기능을 하는 셰이더 프로세서에 비해 약 1.5배 정도 많은 수의 명령어를 지원하며, 사용하는 연산 유닛 수에 비해 전체적인 성능은 약 3.1GFLOPS 향상된 결과를 보였다.