• 제목/요약/키워드: FPGA 검증

검색결과 549건 처리시간 0.027초

원자력발전소의 안전등급 FPGA 확인 및 검증 방법 (Verification and Verification Method of Safety Class FPGA in Nuclear Power Plant)

  • 이동일
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국정보통신학회 2019년도 춘계학술대회
    • /
    • pp.464-466
    • /
    • 2019
  • 원자력 발전소에 사용되는 제어기는 높은 신뢰도를 요구한다. 한국형 디지털 원자력 발전소인 APR1400 (Advanced Power Reactor 1400)을 비롯하여, 과거 많은 원자력 발전소에 FPGA (Field Programmable Gate Array)와 CPLD (Complex Programmable Logic Device, 이하 FPGA로 통칭)가 포함된 제어기가 적용되고 있다. 적용 초기에는 FPGA를 일반적인 IC (Integrated Circuit)처럼 기기검증 및 성능시험으로만 검증을 하였다. 이후 90년대에 들어 FPGA검증에 대한 연구가 시작되면서, FPGA가 칩이 되기 전까지를 소프트웨어로 간주하여 IEEE 1012-2004를 적용하여 소프트웨어 확인 및 검증을 하였다. 현재에는 유럽표준인 IEC 62566을 적용하여 많은 검증을 하고 있다. 이 방법은 현재까지 가장 현명한 방법으로 평가 받고 있다. 이유는 기존의 검증 방법에서 문제가 되었던 SoC (System on Chip)의 특징을 검증하는 방법을 충분히 적용하였기 때문이다. 하지만, IEC 62566은 유럽 표준으로 아직 미국에서는 채택을 하지 않고 있으며, FPGA에 대해서는 IEEE 1012를 적용하는 것을 유지하고 있다. IEEE 1012-2004나 IEC 62566은 기술 표준으로 실무에서는 다양한 방법을 적용하여 기술 표준을 충족시켜서 적용하고 있다. 이 논문에서는 SoC의 검증 방법이 적용된 원자력 안전등급 FPGA에 대한 검증 방법의 절차 및 중요사항에 대해 설명하고자 한다.

  • PDF

FX3 USB 3 브릿지 칩과 slave FIFO 인터페이스를 사용하는 FPGA 검증 시스템 구현 (Implementation of FPGA Verification System with Slave FIFO Interface and FX3 USB 3 Bridge Chip)

  • 최병윤
    • 한국정보통신학회논문지
    • /
    • 제25권2호
    • /
    • pp.259-266
    • /
    • 2021
  • USB 버스는 편리하게 사용할 수 있고 빠르게 데이터를 전송하는 장점이 있어서, FPGA 개발보드와 PC 사이의 표준적인 인터페이스이다. 본 논문에서는 Cypress FX3 USB 3 브릿지 칩에 대한 slave FIFO 인터페이스를 사용하여 FPGA 검증 시스템을 구현하였다. slave FIFO 인터페이스 모듈은 FIFO 구조의 호스트 인터페이스 모듈과 마스터 버스 제어기와 명령 해독기로 구성되며, FX3 브릿지 칩에 대한 스트리밍 데이터 통신과 사용자 설계 회로에 대한 메모리 맵 형태의 입출력 인터페이스를 지원한다. 설계 검증 시스템에는 Cypress FX3 칩과 Xilinx Artix FPGA (XC7A35T-1C5G3241) 칩으로 구성된 ZestSC3 보드가 사용되었다. C++ DLL 라이브러리와 비주얼 C# 언어를 사용하여 개발한 GUI 소프트웨어를 사용하여, 사용자 설계 회로에 대한 FPGA 검증 시스템이 다양한 클록 주파수 환경에서 올바로 동작함을 확인하였다. 설계한 FPGA 검증 시스템의 slave FIFO 인터페이스 회로는 모듈화 구조를 갖고 있어서 메모리맵 인터페이스를 갖는 다른 사용자 설계 회로에도 응용이 가능하다.

레고 : 재구성 가능한 시스템 에뮬레이터 (REGO: REconfiGurable system emulatOr)

  • 김남도;양세양
    • 대한전자공학회논문지SD
    • /
    • 제39권2호
    • /
    • pp.91-103
    • /
    • 2002
  • 다수의 FPGA로 구성된 에뮬레이터에서 FPGA간의 연결구조와 신호의 전송방법은 에뮬레이터의 확장성과 검증속도를 결정하는 중요한 요소이다. 기존의 에뮬레이터는 검증 대상이 되는 회로의 크기가 커짐에 비례하여 에뮬레이션의 속도가 현저하게 느려지는 문제점이 있다. 본 논문에서는 자원이용률을 극대화할 수 있을 뿐만 아니라 에뮬레이션의 속도도 크게 높일 수 있는 새로운 에뮬레이터 구조를 제안한다. 제안되는 에뮬레이터는 계층적인 환형 토폴로지 구조를 가지고 파이프라인의 환형으로 FPGA들을 연결하여 FPGA의 핀한곌르 극복하고, 이와 같은 연결구조를 이용하여 다양한 IP들의 통합도 매우 용이하게 함으로써 설계검증 난이도가 설계자의 검증 능력을 쉽게 초과할 수 있는 시스템 수준에서의 검증도 최소한 수십 ㎒ 속도의 에뮬레이션으로 효과적으로 가능하게 한다.

사운드바(Soundbar)를 위한 프로세서 내장 SoC 설계 검증을 위한 FPGA 시스템의 구현 (Implementation of FPGA-based SoC Design Verification System for a Soundbar with Embedded Processor)

  • 김성우;이선희;최성진
    • 방송공학회논문지
    • /
    • 제21권5호
    • /
    • pp.792-802
    • /
    • 2016
  • 최근 사용이 늘어나고 있는 멀티밴드 사운드바 설계 시, 설계검증은 시뮬레이션으로 확인이 되지 않거나 되기 힘든 검증요소들이 다수 존재한다. 따라서 본 논문에서는 프로세서 내장 사운드바 SoC를 위한 FPGA 검증시스템을 구현하였다. 이를 통해 설계단계의 시뮬레이션으로 검증할 수 없는 실시간 성능테스트와 청취테스트를 실시간 검증하였다. 즉, 구현된 FPGA 검증시스템을 이용해서 SNR, THD+N, 주파수응답과 같은 정량적 항목들의 측정 및 청취테스트를 시행하였고, 테스트 결과가 설계목표를 만족함을 확인하였다.

FPGA 컴파일 회피에 의한 효과적인 시뮬레이션 가속 (Efficient Simulation Acceleration by FPGA Compilation Avoidance)

  • 심규호;박창호;양세양
    • 정보처리학회논문지A
    • /
    • 제14A권3호
    • /
    • pp.141-146
    • /
    • 2007
  • 본 논문에서는 FPCA 기반의 시뮬레이션가속을 통한 함수적 검증에서 매 설계오류의 수정 과정에서 필수적으로 진행되어야 하였던 긴 FPGA 컴파일 시간에 의한 오랜 디버깅턴어라운드시간을 단축할 수 있는 FPGA 컴파일 회피를 통한 효과적인 시뮬레이션가속 방법을 제시하였다. 마이크로컨트롤러 설계의 함수적 검증에 제안된 방법을 적용한 결과, 본 논문에서 제안된 방법이 시뮬레이션가속의 높은 시뮬레이션 수행 속도를 유지하는 동시에 디버깅턴어라운드시간도 크게 단축할 수 있음을 확인할 수 있었다.

RISC-V 프로세서의 FPGA 구현 및 검증 (FPGA Implementation and Verification of RISC-V Processor)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제23권5호
    • /
    • pp.115-121
    • /
    • 2023
  • RISC-V는 오픈소스 명령어집합 아키텍처로, 누구나 자유롭게 RISC-V 마이크로프로세서를 설계하고 구현할 수 있다. 본 논문에서는 RISC-V 아키텍처를 설계하고 시뮬레이션한 후, FPGA에 구현 및 합성하고 로직아날라이저(ILA)를 이용하여 검증하였다. RISC-V 코어는 SystemVerilog로 작성되어 효율적인 설계와 높은 재사용성을 나타내며, 다양한 응용 분야에서 사용 가능하다. Vivado를 사용하여 Ultra96-V2 FPGA보드에 합성함으로써 RISC-V 코어를 하드웨어로 구현하였고, 통합로직아날라이저(ILA)를 통해 설계의 정확성과 동작을 검증하였다. 실험 결과, 설계된 RISC-V 코어는 기대한 동작을 수행함을 확인하였으며, 이러한 연구 결과는 RISC-V 기반 시스템 설계와 검증에 중요한 기여를 할 수 있다.

FPGA 상의 설계 검증을 위한 논리 분석기 소프트웨어 및 하드웨어 구현 (The Implementation of Logic Analyzer Software & Hardware for Design Verification on FPGA board)

  • 황수연;정성헌;장경선
    • 한국정보처리학회:학술대회논문집
    • /
    • 한국정보처리학회 2003년도 춘계학술발표논문집 (상)
    • /
    • pp.397-400
    • /
    • 2003
  • FPGA 보드를 이용하여 디지털 논리 설계를 검증하려면 고가의 논리 분석기 장비를 필요로 한다. 본 논문은 FPGA 설계에 대한 검증을 PC에서 직접 입력 데이터를 FPGA 보드 쪽으로 전달하고 그 결과를 다시 PC 쪽에서 GUI 형태로 확인할 수 있도록 구성된, 논리 분석기 기능을 갖는 VHDL 모듈과 소프트웨어의 구현에 관한 것이다. 이와 같은 VHDL 모듈과 소프트웨어 모듈을 활용함으로써 추가 비용 없이 검증 과정을 수행할 수 있다.

  • PDF

HDL 코딩 방법에 따른 FPGA에서의 성능 실험 및 평가 (FPGA Performance Evaluation According to HDL Coding Style)

  • 이상욱;이보선;이승은;서태원
    • 한국정보처리학회:학술대회논문집
    • /
    • 한국정보처리학회 2011년도 추계학술발표대회
    • /
    • pp.62-65
    • /
    • 2011
  • FPGA는 대용량의 게이트를 지원하는 하드웨어를 프로그램 할 수 있는 디바이스이다. ASIC을 위해 설계된 로직은 칩으로 제조되기 전에 검증 과정을 거친다. 이 검증 과정에서 시뮬레이션의 한계를 극복하기 위해 FPGA를 사용한 에뮬레이션 방법을 많이 채택한다. 에뮬레이션 과정에서 ASIC의 동작 속도로 검증하는 것이 바람직하지만 FPGA의 특성상 ASIC과 같은 속도로 동작하기는 쉽지 않은 것이 현실이다. 본 논문에서는 HDL 코딩 방법에 따른 FPGA의 성능 민감도를 실험하였다. 실험 및 평가를 위해 다양한 알고리즘을 가진 가산기를 이용하였고 각 가산기 종류와 비트수에 따라 Verilog-HDL을 이용하여 코딩하였으며 대표적인 FPGA 제조사(Altera와 Xilinx)별, 디바이스별로 동작 속도와 자원 사용량을 측정하였다. 실험 결과 FPGA 제조사별로 다른 경향을 보임을 확인하였다. 성능 면에서는 비트별로 다소 차이는 있지만 Altera 디바이스에서는 Ripple Carry, Carry Lookahead 가산기보다 Prefix 가산기의 성능이 우수하게 나왔다. Xilinx 디바이스에서는 예상과 달리 가산기들 사이의 성능 차이가 크게 나지 않았으며 Ripple Carry, Carry Lookahead 가산기가 Prefix 가산기보다 높은 성능을 보이는 경우도 있었다. 비용 면에서는 디바이스별로 큰 차이가 나지 않았으며 ASIC과 비슷한 성능 민감도를 보였다. 그리고 각 제조사에서 제공하는 IP(Intellectual Property) Core를 사용했을 경우는 대부분의 디바이스에서 우수한 성능을 보여 주었다. TSMC 90nm 공정 기술로 제작한 ASIC과 IP Core를 비교했을 때는 ASIC의 성능이 4배 정도 우수한 것으로 나타났다.

통합된 FPGA 개발 방법 및 환경

  • 조한진;엄낙웅
    • 전자공학회지
    • /
    • 제23권11호
    • /
    • pp.23-33
    • /
    • 1996
  • 본 논문은 원판과 전용 CAD 틀로 구성되는 FPGA시스템을 개발하는데 있어서 서로 다른 요소 기술들의 관계와 이들 요소 기술들과 시스템성능의 관계를 모델하여 시스템 사양을 만족하기 위하여 가장 효율적인 방법을 찾게하는 방법에 관한 것이다. 본 논문에서는 실제로 개발된 시스템을 예로 하여 FPGA시스템 개발에서 고려해야 할 점들을 고찰하였다. 새로운 FPGA 시스템의 개발 순서는 먼저 개발할 FPGA의 응용 분야를 결정하고, 그 응용 분야에 필요한 시스템 사양에 맞게 개발한 요소 기술들과 그 기술들의 범위를 정한다. 개발 흐름도를 이용하여 이 요소 기술들의 연관 관계를 수직적으로는 시스템 성능에 미치는 영향을 모델링하고 수평적으로는 요소 기술간의 서로 미치는 영향을 모델링 하여 시스템 사양을 만족하기 위한 최적의 해를 구한다. 이때 최종적인 FPGA 시스템을 평가하고 검증할 수 있는 방법을 결정한다. 요소 기술들이 개발 됨에 따라 좀 더 구체적이고 정확한 모델에 의해 전체 시스템의 성능은 평가되고 검증될 수 있다. 이러한 방법과 환경은 FPGA 시스템을 빠르고 효율적으로 개발할 수 있게 한다.

  • PDF

240*320 TFT-LCD의 컨트롤러 하드웨어 설계 (Hardware Design of 240*320 TFT-LCD Controller)

  • 성광주;하창수;최병윤
    • 한국정보통신학회:학술대회논문집
    • /
    • 한국해양정보통신학회 2010년도 춘계학술대회
    • /
    • pp.167-169
    • /
    • 2010
  • 본 논문에서는 최근 모바일장치에서 널리 사용되는 TFT-LCD를 제어 할 수 있는 컨트롤러를 하드웨어로 구현하고 FPGA로 검증한 내용을 기술한다. TFT-LCD 컨트롤러는 red, green, blue의 픽셀 정보와 동기화 할 수 있는 Hsync, Vsync 신호를 출력하고 TFT-LCD에 각 픽셀의 RGB 값이 나타나게 된다. 하드웨어 기술 언어로는 verilog-hdl을 사용하였고, 모델심 소프트웨어를 통하여 시뮬레이션을 확인하고 Xilinx FPGA를 통해 올바른 동작을 검증하였다. 프레임 버퍼는 FPGA안에 블록램의 형태로 구성하여 TFT-LCD에 이미지 파일이 출력되도록 설계 하였다.

  • PDF