• 제목/요약/키워드: Etching profile

검색결과 203건 처리시간 0.035초

Fabrication of Artificial Sea Urchin Structure for Light Harvesting Device Applications

  • Yeo, Chan-Il;Kwon, Ji-Hye;Kim, Joon-Beom;Lee, Yong-Tak
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.380-381
    • /
    • 2012
  • Bioinspired sea urchin-like structures were fabricated on silicon by inductively coupled plasma (ICP) etching using lens-like shape hexagonally patterned photoresist (PR) patterns and subsequent metal-assisted chemical etching (MaCE) [1]. The lens-like shape PR patterns with a diameter of 2 ${\mu}m$ were formed by conventional lithography method followed by thermal reflow process of PR patterns on a hotplate at $170^{\circ}C$ for 40 s. ICP etching process was carried out in an SF6 plasma ambient using an optimum etching conditions such as radio-frequency power of 50 W, ICP power of 25 W, SF6 flow rate of 30 sccm, process pressure of 10 mTorr, and etching time of 150 s in order to produce micron structure with tapered etch profile. 15 nm thick Ag film was evaporated on the samples using e-beam evaporator with a deposition rate of 0.05 nm/s. To form Ag nanoparticles (NPs), the samples were thermally treated (thermally dewetted) in a rapid thermal annealing system at $500^{\circ}C$ for 1 min in a nitrogen environment. The Ag thickness and thermal dewetting conditions were carefully chosen to obtain isolated Ag NPs. To fabricate needle-like nanostructures on both the micron structure (i.e., sea urchin-like structures) and flat surface of silicon, MaCE process, which is based on the strong catalytic activity of metal, was performed in a chemical etchant (HNO3: HF: H2O = 4: 1: 20) using Ag NPs at room temperature for 1 min. Finally, the residual Ag NPs were removed by immersion in a HNO3 solution. The fabricated structures after each process steps are shown in figure 1. It is well-known that the hierarchical micro- and nanostructures have efficient light harvesting properties [2-3]. Therefore, this fabrication technique for production of sea urchin-like structures is applicable to improve the performance of light harvesting devices.

  • PDF

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF

광도파로 제작을 위한 단결정 LiNbO3 건식 식각 특성 (Dry Etching Characteristics of LiNbO3 Single Crystal for Optical Waveguide Fabrication)

  • 박우정;양우석;이한영;윤대호
    • 한국세라믹학회지
    • /
    • 제42권4호
    • /
    • pp.232-236
    • /
    • 2005
  • $LiNbO_{3}$ optical waveguide 구조를 neutral loop discharge plasma 방법으로 식각시 As과 $C\_{3}F_{8}$가 혼합된 가스 유량에 따른 식각속도와 표면조도 값의 특성을 관찰하였다. 식각 후 식각속도와 식각단면은 scanning electron microscopy로 비교 분석하였으며, 표면조도는 atomic force microscopy로 측정하였다. Ar과 $C_{3}F_{8}$가 혼합된 가스 유량비를 각각 0.1-0.5로 증가시킴에 따라 식각속도와 표면조도는 0.2에서 가장 높게 나타났으며, bias power를 증가함에 따라 300W에서 가장 우수한 식각속도와 가장 평탄한 표면 형상을 얻을 수 있었다.

$BCl_3$/Ar 플라즈마에서 $Cl_2$ 첨가에 따른 TiN 박막의 식각 특성 (Etch characteristics of TiN thin film adding $Cl_2$ in $BCl_3$/Ar Plasma)

  • 엄두승;강찬민;양설;김동표;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.168-168
    • /
    • 2008
  • Dimension of a transistor has rapidly shrunk to increase the speed of device and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate dioxide layer and low conductivity characteristic of poly-Si gate in nano-region. To cover these faults, study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$, and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-Si gate is not compatible with high-k materials for gate-insulator. Poly Si gate with high-k material has some problems such as gate depletion and dopant penetration problems. Therefore, new gate structure or materials that are compatible with high-k materials are also needed. TiN for metal/high-k gate stack is conductive enough to allow a good electrical connection and compatible with high-k materials. According to this trend, the study on dry etching of TiN for metal/high-k gate stack is needed. In this study, the investigations of the TiN etching characteristics were carried out using the inductively coupled $BCl_3$-based plasma system and adding $Cl_2$ gas. Dry etching of the TiN was studied by varying the etching parameters including $BCl_3$/Ar gas mixing ratio, RF power, DC-bias voltage to substrate, and $Cl_2$ gas addition. The plasmas were characterized by optical emission spectroscopy analysis. Scanning electron microscopy was used to investigate the etching profile.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Ar/CF4 유도결합 플라즈마를 이용한 BET 박막의 식각 메카니즘 (Etching Mechanism Of Bi4-xEuxTiO12 (BET) Thin films Using Ar/CF4 Inductively Coupled Plasma)

  • 임규태;김경태;김동표;김창일
    • 한국전기전자재료학회논문지
    • /
    • 제16권4호
    • /
    • pp.298-303
    • /
    • 2003
  • Bi$_4$-$_{x}$EU$_{x}$Ti$_3$O$_{12}$ (BET) thin films were etched by inductively coupled CF$_4$/Ar plasma. We obtained the maximum etch rate of 78 nm/min at the gas mixing ratio of CF$_4$(10%)/Ar(90%). The variation of volume density for F and Ar atoms are measured by the optical emission spectroscopy. As CF$_4$increased in CF$_4$/Ar plasma, the emission intensities of F increase, but Ar atoms decrease, which confirms our suggestion that emission intensity is proportional to the volume density of atoms. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O and the Ti-O peaks are changed. By pure Ar plasma, intensity peak of the oxygen-metal (O-M : TiO$_2$, Bi$_2$O$_3$, Eu$_2$O$_3$) bond was seemed to disappear while the intensity of pure oxygen peak showed an opposite tendency. After the BET thin films was etched by CF$_4$/Ar plasma, the peak intensity of O-M bond increase slowly, but more quickly than that of peak belonged to pure oxygen atoms due to the decrease of Ar ion bombardment. Scanning electron microscopy was used to investigate etching Profile. The Profile of etched BET thin film was over 85$^{\circ}$./TEX>.

마이크로 열소자 제작을 위한 고세장비 금속채널의 레이저 가공 (Laser micromachining of high-aspect-ratio metallic channels for the application to microthermal devices)

  • 오광환;이민규;정성호
    • 한국광학회지
    • /
    • 제17권5호
    • /
    • pp.437-446
    • /
    • 2006
  • 본 연구에서는 레이저유도 에칭기술을 이용한 스테인레스강의 고세장비 미세채널 제조에 대하여 기술한다. 공정 변수 최적화와 반복에칭을 통하여 높은 세장비를 갖는 미세채널을 제조하였으며 제조된 미세채널은 레이저출력과 에칭용액의 농도를 적절하게 조절함으로써 U 형상과 V 형상 사이의 단면 구조를 가지며 열변형이 없는 우수한 표면 형상을 보였다. 채널과 채널 사이의 간격은 $150{\mu}m$ 또는 그 이하이며 $15{\sim}50{\mu}m$ 범위의 폭을 갖는 10 이상의 고세장비 미세채널이 제조되었다. 레이저출력, 레이저초점의 이송속도, 에칭용액의 농도 등의 공정 변수들이 제조된 채널의 폭, 깊이 그리고 단면 형상에 미치는 영향에 대하여 자세히 보고한다.

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제45회 하계 정기학술대회 초록집
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

Si V-groove를 이용한 광섬유와 Photodiode결합에서의 Beam Profile과 결합효율에 대한 이론적 연구 (Theoretical Study of the Beam Profile and Coupling Efficiency for Fiber-Photodiode Coupling using Si V-grooves)

  • 금동인;민성욱;이병호
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1995년도 하계학술대회 논문집 C
    • /
    • pp.1265-1267
    • /
    • 1995
  • In the fiber-photodiode(PD) coupling module using v-groove, the paraxial approximation is no longer valid because the beam enters obliquely the PD substrate with the angle of $20^{\circ}$ after being reflected from the $55^{\circ}$ mirror formed by anisotropically etching of the (100) silicon wafer. In this paper, we study the beam profile incident on the PD active area and fiber-PD coupling efficiency for this case.

  • PDF

HBr 가스를 이용한 MgO 박막의 고밀도 반응성 이온 식각

  • 김은호;소우빈;공선미;정지원
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2009년도 제38회 동계학술대회 초록집
    • /
    • pp.212-212
    • /
    • 2010
  • 최근 차세대 반도체 메모리 소자로 대두된 magnetic random access memory(MRAM)에 대한 연구가 활발히 진행되고 있다. 특히 MRAM의 magnetic tunnel junction(MTJ) stack을 구성하는 자성 재료의 건식 식각에 대한 연구에서는 좋은 profile을 얻고, 재층착의 문제를 해결하기 위한 노력이 계속해서 진행되고 있다. 본 연구에서는 photoresist(PR)과 Ti 하드 마스크로 패턴 된 배리어(barrier) 층인 MgO 박막의 식각 특성을 유도결합 플라즈마를 이용한 고밀도 반응성 이온 식각(inductively coupled plasma reactive ion etching-ICPRIE)을 통해서 연구하였다. PR 및 Ti 마스크를 이용한 자성 박막들은 HBr/Ar, HBr/$O_2$/Ar 식각 가스의 농도를 변화시키면서 식각되었다. HBr/Ar 가스를 이용 식각함에 있어서 좋은 식각 조건을 얻기 위한 parameter로서 pressure, bias voltage, rf power를 변화시켰다. 각 조건에서 Ti 하드마스크에 대한 터널 배리어층인 MgO 박막에 selectivity를 조사하였고 식각 profile을 관찰하였다. 식각 속도를 구하기 위해 alpha step(Tencor P-1)이 사용되었고 또한 field emission scanning electron microscopy(FESEM)를 이용하여 식각 profile을 관찰함으로써 최적의 식각 가스와 식각 조건을 찾고자 하였다.

  • PDF