• Title/Summary/Keyword: Enhanced layer

Search Result 1,444, Processing Time 0.025 seconds

Performance Comparison of CuPc, Tetracene, Pentacene-based Photovoltaic Cells with PIN Structures

  • Hwang, Jong-Won;Kang, Yong-Su;Park, Seong-Hui;Lee, Hye-Hyun;Jo, Young-Ran;Choe, Young-Son
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.311-312
    • /
    • 2010
  • The fabricated photovoltaic cells based on PIN heterojunctions, in this study, have a structure of ITO/poly(3, 4-ethylenedioxythiophene)-poly(styrenesulfonate)(PEDOT:PSS)/donor/donor:C60(10nm)/C60(35nm)/2, 9-dimethyl-4, 7-diphenyl-1, 10-phenanthroline(8nm)/Al(100nm). The thicknesses of an active layer(donor:C60), an electron transport layer(C60), and hole/exciton blocking layer(BCP) were fixed in the organic photovoltaic cells. We investigated the performance characteristics of the PIN organic photovoltaic cells with copper phthalocyanine(CuPc), tetracene and pentacene as a hole transport layer. Discussion on the photovoltaic cells with CuPc, tetracene and pentacene as a hole transport layer is focussed on the dependency of the power conversion efficiency on the deposition rate and thickness of hole transport layer. The device performance characteristics are elucidated from open-circuit-voltage(Voc), short-circuit-current(Jsc), fill factor(FF), and power conversion efficiency($\eta$). As the deposition rate of donor is reduced, the power conversion efficiency is enhanced by increased short-circuit-current(Jsc). The CuPc-based PIN photovoltaic cell has the limited dependency of power conversion efficiency on the thickness of hole transport layer because of relatively short exciton diffusion length. The photovoltaic cell using tetracene as a hole transport layer, which has relatively long diffusion length, has low efficiency. The maximum power conversion efficiencies of CuPc, tetracene, and pentacene-based photovoltaic cells with optimized deposition rate and thickness of hole transport layer have been achieved to 1.63%, 1.33% and 2.15%, respectively. The photovoltaic cell using pentacene as a hole transport layer showed the highest efficiency because of dramatically enhanced Jsc due to long diffusion length and strong thickness dependence.

  • PDF

Low Temperature Plasma-Enhanced Atomic Layer Deposition Cobalt

  • 김재민;김형준
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2009년도 추계학술발표대회
    • /
    • pp.28.2-28.2
    • /
    • 2009
  • Cobalt thin film was fabricated by a novel NH3-based plasma-enhanced atomic layer deposition(PE-ALD) using Co(CpAMD) precursor and $NH_3$ plasma. The PE-ALD Co thin films were produced well on both thermally grown oxide (100 nm) $SiO_2$ and Si(001) substrates. Chemical bonding states and compositions of PE-ALD Co films were analyzed by XPS and discussed in terms of resistivity and impurity level. Especially, we successfully developed PE-ALD Code position at very low growth temperature condition as low as $T_s=100^{\circ}C$, which enabled the fabrication of Co patterns through lift-off method after the deposition on PR patterned substrate without any thermal degradation.

  • PDF

Enhanced efficiency of organic light-emitting diodes by doping the holetransport layer

  • Kwon, Do-Sung;Song, Jun-Ho;Lee, Hyun-Koo;Shin, You-Chul;Lee, Chang-Hee
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2005년도 International Meeting on Information Displayvol.II
    • /
    • pp.1401-1403
    • /
    • 2005
  • We present that the carrier balance can be improved by doping a hole transport layer of 4,4'- bis[N-(1-napthyl)-N-phenyl-amino]-biphenyl (${\alpha}$-NPD) with a hole blocking material of 2,9-dimethyl- 4,7-diphenyl-1,10-phenanthroline (BCP). The doping leads to disturb hole transport, which can enhance the balance of electron s and holes concentration in the emitting layer, aluminum tris(8 -hydroxyquinoline) (Alq3), resulting in enhanced electroluminescence (EL) quantum efficiency for the device with the doped ${\alpha}$-NPD.

  • PDF

1.5' Full Color ECR(Enhanced Contrast Ratio) OLED Using Black Layer Technology

  • Kang, Seong-Jong;E, Jung-Yoon;Roh, Byeong-Gyu;Lee, Jong-Ho;Kim, Woo-Young
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2005년도 International Meeting on Information Displayvol.II
    • /
    • pp.1394-1397
    • /
    • 2005
  • Hyundai LCD Inc. and LUXELL Technologies Inc. have jointly developed a 1.5" passive matrix full color OLED display ($132{\times}RGB{\times}96$, 111ppi) with characteristics of enhanced contrast ratio using black layer technology. This prototype ECR OLED was fabricated with the structure of ITO/HIL/HTL/RGB EML/HBL/ETL/LiF/Black Layer/Cathode and showed significant improvement of contrast ratio comparing with that of non-filtered OLED as well as compatible with circular polarizer OLED

  • PDF

Passivation of organic light emitting diodes with a-$SiN_x$ thin films grown by catalyzer enhanced chemical vapor deposition

  • Jeong, Jin-A;Kang, Jae-Wook;Kim, Han-Ki
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2007년도 7th International Meeting on Information Display 제7권1호
    • /
    • pp.659-662
    • /
    • 2007
  • The characteristics of a $SiN_x$ passivation layer grown by a specially designed catalyzer enhanced chemical vapor deposition (CECVD) system and electrical and optical properties of OLEDs passivated with the $SiN_x$ layer are described. Despite the low substrate temperature, the single $SiN_x$ passivation layer, grown on the PC substrate, exhibited a low water vapor transmission rate of $2{\sim}6{\times}10^{-2}\;g/m^2/day$ and a high transmittance of 87 %. In addition, current-voltage-luminescence results of an OLED passivated with a 150 nm-thick $SiN_x$ film compared to nonpassivated sample were identical indicating that the performance of an OLED is not critically affected by radiation from tungsten catalyzer during the $SiN_x$ deposition.

  • PDF

An Enhanced UBR+(EUBR+) scheme to improve the performance of TCP-over-ATM

  • Kim, Chul;Kim, Young-Tak
    • 한국통신학회논문지
    • /
    • 제26권9A호
    • /
    • pp.1535-1541
    • /
    • 2001
  • TCP is the most widely-used transport layer protocol in current Internet, while ATM technology is used to increase the data communication speed at data link layer and network layer. In the TCP-over-ATM architecture, the most significant problems are (i) the partial packet discarding problem, and (ii) the TCP window timeout problem. Several approaches have been proposed to solve the partial packet discard problem and the timeout problem individually, but none of them considered the two problems together. In this paper, we propose an enhanced UBR+ scheme which supports fairness among the TCP connections using UBR+ scheme, and provides protection of damaged VC from the multiple packet losses in the same TCP sliding window. To analyze its performance, we simulate the proposed scheme using OPNET. The simulation results show that the proposed scheme supports fairness, and also increases the throughput by reducing the probability of multiple cell losses in the same TCP window.

  • PDF

결정질 실리콘 태양전지 적용을 위한 ALD-Al2O3 패시베이션 막의 산화질화막 적층 특성 (Characteristics on Silicon Oxynitride Stack Layer of ALD-Al2O3 Passivation Layer for c-Si Solar Cell)

  • 조국현;조영준;장효식
    • 한국재료학회지
    • /
    • 제25권5호
    • /
    • pp.233-237
    • /
    • 2015
  • Silicon oxynitride that can be deposited two times faster than general SiNx:H layer was applied to fabricate the passivation protection layer of atomic layer deposition (ALD) $Al_2O_3$. The protection layer is deposited by plasma-enhanced chemical vapor deposition to protect $Al_2O_3$ passivation layer from a high temperature metallization process for contact firing in screen-printed silicon solar cell. In this study, we studied passivation performance of ALD $Al_2O_3$ film as functions of process temperature and RF plasma effect in plasma-enhanced chemical vapor deposition system. $Al_2O_3$/SiON stacks coated at $400^{\circ}C$ showed higher lifetime values in the as-stacked state. In contrast, a high quality $Al_2O_3$/SiON stack was obtained with a plasma power of 400 W and a capping-deposition temperature of $200^{\circ}C$ after the firing process. The best lifetime was achieved with stack films fired at $850^{\circ}C$. These results demonstrated the potential of the $Al_2O_3/SiON$ passivated layer for crystalline silicon solar cells.

Silicide-Enhanced Rapid Thermal Annealing을 이용한 다결정 Si 박막의 제조 및 다결정 Si 박막 트랜지스터에의 응용 (Fabrication of Polycrystalline Si Films by Silicide-Enhanced Rapid Thermal Annealing and Their Application to Thin Film Transistors)

  • 김존수;문선홍;양용호;강승모;안병태
    • 한국재료학회지
    • /
    • 제24권9호
    • /
    • pp.443-450
    • /
    • 2014
  • Amorphous (a-Si) films were epitaxially crystallized on a very thin large-grained poly-Si seed layer by a silicide-enhanced rapid thermal annealing (SERTA) process. The poly-Si seed layer contained a small amount of nickel silicide which can enhance crystallization of the upper layer of the a-Si film at lower temperature. A 5-nm thick poly-Si seed layer was then prepared by the crystallization of an a-Si film using the vapor-induced crystallization process in a $NiCl_2$ environment. After removing surface oxide on the seed layer, a 45-nm thick a-Si film was deposited on the poly-Si seed layer by hot-wire chemical vapor deposition at $200^{\circ}C$. The epitaxial crystallization of the top a-Si layer was performed by the rapid thermal annealing (RTA) process at $730^{\circ}C$ for 5 min in Ar as an ambient atmosphere. Considering the needle-like grains as well as the crystallization temperature of the top layer as produced by the SERTA process, it was thought that the top a-Si layer was epitaxially crystallized with the help of $NiSi_2$ precipitates that originated from the poly-Si seed layer. The crystallinity of the SERTA processed poly-Si thin films was better than the other crystallization process, due to the high-temperature RTA process. The Ni concentration in the poly-Si film fabricated by the SERTA process was reduced to $1{\times}10^{18}cm^{-3}$. The maximum field-effect mobility and substrate swing of the p-channel poly-Si thin-film transistors (TFTs) using the poly-Si film prepared by the SERTA process were $85cm^2/V{\cdot}s$ and 1.23 V/decade at $V_{ds}=-3V$, respectively. The off current was little increased under reverse bias from $1.0{\times}10^{-11}$ A. Our results showed that the SERTA process is a promising technology for high quality poly-Si film, which enables the fabrication of high mobility TFTs. In addition, it is expected that poly-Si TFTs with low leakage current can be fabricated with more precise experiments.

조미김 포장을 위한 PET/PVA-BA/OPP 다층필름 제조 및 특성분석 (Preparation and Characterization of PET/PVA-BA/OPP Multi-layer Films for Seasoned-laver Packaging)

  • 임미진;김도완;서종철
    • 한국포장학회지
    • /
    • 제23권1호
    • /
    • pp.9-15
    • /
    • 2017
  • 수증기 및 산소에 대한 차단성이 개선된 PVA-BA 코팅 조성액의 포장소재로의 적용가능성을 확인하기 위하여 콤마 코팅과 라미네이션 공정을 이용하여 PET/PVA-BA/OPP 다층필름을 제조하였다. PCT 전 후의 PET/PVA-BA/OPP 다층필름의 기체, 수증기 차단특성 및 인장강도를 확인하였고 이를 PA/PA/EVOH/PP 다층필름의 물성과 비교하였다. PVA내 BA 함량이 증가함에 따라 물성이 증가하는 것을 확인할 수 있었지만, PCT 후 PET/PVA-BA/OPP 다층필름의 산소, 수증기 차단특성 및 인장강도는 감소하는 경향을 보였다. 이는 PVA-BA층 내 증가한 가교밀도와 관련이 있는 것으로 판단된다. 또한, 조미김을 이용한 저장특성분석에서, PET/PVA-BA/OPP다층필름은 PP/Al-metallized PP 다층필름에 비해 조미김의 지방산화를 야기시키는 요인을 효과적으로 억제하는 것으로 판단된다. 하지만, PP/Al-metallized PP 다층필름에 비해 PET/PVA-BA/OPP 다층필름의 상대적으로 높은 수분투과특성 때문에 Aw에 큰 장점을 확인하지 못하였다. 따라서, 물성 극대화 및 포장소재로 적용을 위해서는 PET/PVA-BA/OPP 다층필름 내 수분 차단성 향상에 대한 추가적인 연구가 필요하다는 것을 확인하였다.

Enhanced Anti-reflective Effect of SiNx/SiOx/InSnO Multi-layers using Plasma Enhanced Chemical Vapor Deposition System with Hybrid Plasma Source

  • Choi, Min-Jun;Kwon, O Dae;Choi, Sang Dae;Baek, Ju-Yeoul;An, Kyoung-Joon;Chung, Kwun-Bum
    • Applied Science and Convergence Technology
    • /
    • 제25권4호
    • /
    • pp.73-76
    • /
    • 2016
  • Multi-layer films of $SiN_x/SiO_x$/InSnO with anti-reflective effect were grown by new-concept plasma enhanced chemical vapor deposition system (PECVD) with hybrid plasma source (HPS). Anti-reflective effect of $SiN_x/SiO_x$/InSnO was investigated as a function of ratio of $SiN_x$ and $SiO_x$ thickness. Multi-layers deposited by PECVD with HPS represents the enhancement of anti-reflective effect with high transmittance, comparing to the layers by conventional radio frequency (RF) sputtering system. This change is strongly related to the optical and physical properties of each layer, such as refractive index, composition, film density, and surface roughness depending on the deposition system.