• Title/Summary/Keyword: EPD

Search Result 232, Processing Time 0.038 seconds

Performance Analysis of Electronic Personal Dosimeter(EPD) for External Radiation Dosimetry (전자개인선량계(EPD)의 외부피폭방사선량 평가 성능분석)

  • Lee, Byoung-Il;Kim, Taejin;Lim, Young-Khi
    • Journal of Radiation Protection and Research
    • /
    • v.40 no.4
    • /
    • pp.261-266
    • /
    • 2015
  • As performance of electronic personal dosimeter (EPD) used for auxiliary personal dosimeter in nuclear power plants (NPPs) has been being continuously improved, we investigated application cases in Korea and other countries and also tested it in NPPs to assess the performance of EPD for external radiation dosimetry. Result of performance tests done in domestic NPPs was similar to those obtained by IAEA in cooperation with EURADOS (IAEA-TECDOC-1564). In addition, EPD/TLD dose ratio has shown similar tendency of EPD/Film-badge dose ratio from the research by the Japan Atomic Power Company (JAPC) and EPD provided more conservative value than TLD or Film-badge. Although some EPD's failures have been discussed, EPD has shown continuous improvement according to the report of Institute of Nuclear Power Operation (INPO) and data from domestic NPPs. In conclusion, It is considered that the general performance of EPD is adequate for external radiation dosimetry compared with that of TLD, providing appropriate performance checking procedure and alternative measures for functional failure.

Malfunction detection in plasma etching process using EPD signal trace (EPD 신호검출에 의한 플라즈마식각공정의 이상검출)

  • 이종민;차상엽;최순혁;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1996.10b
    • /
    • pp.1360-1363
    • /
    • 1996
  • EPD(End Point Detection) is used to decide etching degree of layer which must be removed at wafer etching process in plasma etching process which is one of the most important process in semiconductor manufacturing. In this thesis, the method which detects malfunction of etching process in real-time will be discussed. Several EPD signal traces are collected in normal plasma etching condition and used as reference EPD signal traces. Critical points can be detected by applying differentiation and zero-crossing techniques to reference EPD signal. Mean and standard deviation of critical parameters which is memorized from reference EPD signal are calculated and these determine the lower and higher limit of control chart. And by applying statical control chart to EPD signals which are collected in real etching process malfunctions of process are detected in real-time. By means of applying this method to the real etching process we prove our method can accurately detect the malfunction of etching process and can compensate disadvantage of current industrial method.

  • PDF

A study on EPD(End Point Detection) controller on plasma teaching process (플라즈마 식각공정에서의 EPD(End Point Detection) 제어기에 관한 연구)

  • 최순혁;차상엽;이종민;우광방
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 1996.10b
    • /
    • pp.415-418
    • /
    • 1996
  • Etching Process, one of the most important process in semiconductor fabrication, has input control part of which components are pressure, gas flow, RF power and etc., and plasma gas which is complex and not exactly understood is used to etch wafer in etching chamber. So this process has not real-time feedback controller based on input-output relation, then it uses EPD(End Point Detection) signal to determine when to start or when to stop etching. Various type EPD controller control etching process using EPD signal obtained from optical intensity of etching chamber. In development EPD controller we concentrate on compensation of this signal intensity and setting the relative signal magnitude at first of etching. We compensate signal intensity using neural network learning method and set the relative signal magnitude using fuzzy inference method. Potential of this method which improves EPD system capability is proved by experiences.

  • PDF

Early Packet Discarding Algorithm in IP over ATM Network (IP over ATM 망에서의 Early Packet Discarding 알고리즘)

  • Kim, Seok-Hoo;Cho, Tae-Kyung;Chae, Hyun-Seok;Choi, Myung-Ryul
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2003.05b
    • /
    • pp.1413-1416
    • /
    • 2003
  • 본 논문에서는 기존의 셀 폐기 알고리즘인 PPD, EPD, $EPD^+$에 대하여 분석하였고 기존의 패킷 폐기 알고리즘에서 발생하는 불필요한 셀의 전송 및 대역폭의 낭비를 방지하여 IP 패킷 전송 성능을 향상시킨 Selective EPD 알고리즘을 제안하였다. 또한 기존 셀 폐기 알고리즘인 $EPD^+$와 Selective EPD의 성능을 비교 분석하여 Selective EPD가 $EPD^+$에 비하여 배드풋을 감소시켜 대역폭의 효율성을 극대화함을 보였다.

  • PDF

EPD time delay in etching of stack down WSix gate in DPS+ poly chamber

  • Ko, Yong Deuk;Chun, Hui-Gon
    • Proceedings of the Korean Society Of Semiconductor Equipment Technology
    • /
    • 2002.11a
    • /
    • pp.130-136
    • /
    • 2002
  • Device makers want to make higher density chips as devices shrink, especially WSix poly stack down is one of the key issues. However, EPD (End Point Detection) time delay was happened in DPS+ poly chamber which is a barrier to achieve device shrink because EPD time delay killed test pattern and next generation device. To investigate the EPD time delay, a test was done with patterned wafers. This experimental was carried out combined with OES(Optical Emission Spectroscopy) and SEM (Scanning Electron Microscopy). OES was used to find corrected wavelength in WSix stack down gate etching. SEM was used to confirm WSix gate profile and gate oxide damage. Through the experiment, a new wavelength (252nm) line of plasma is selected for DPS+ chamber to call correct EPD in WSix stack down gate etching for current device and next generation device.

  • PDF

EPD Thick Film Formation of Ceramic Powder Materials (세라믹 분말재료의 EPD 후막형성 기술)

  • Soh, Dea-Wha;Jeon, Yong-Woo
    • Journal of the Speleological Society of Korea
    • /
    • no.75
    • /
    • pp.49-54
    • /
    • 2006
  • Electrophoretic Deposition (EPD) is the most convenient technology to deposit natural or oxide powders of nonconductive materials in alcoholic suspension solution with adding electrolyte of iodine to form ceramic thick film on metal substrate under applied electric field with double electric layer between electrode and metal substrate. In this research work, the important parameters and technical ways were studied to form EPD thick films of typical oxide ceramics of Al2O3, YBCO and tourmaline powders.

Flexible Microelectronics; High-Resolution Active-Matrix Electrophoretic Displays

  • Miyazaki, Atsushi;Kawai, Hideyuki;Miyasaka, Mitsutoshi;Nebashi, Satoshi;Shimoda, Tatsuya;McCreary, Michael
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2005.07a
    • /
    • pp.575-579
    • /
    • 2005
  • A beautiful, flexible active-matrix electrophoretic display (AM-EPD) device is reported. The flexible AM-EPD device has a $40.0{\times}30.0\;mm^2$ display area, measures about 0.27 mm in thickness, weighs about 0.45 g and possesses only 20 external connections. The flexible AM-EPD device displays clear black-and-white images with 5 gray-scales on $160{\times}120$ pixels. The display is free from residual image problems, because we use an area-gray-scale method on $320{\times}240$ EPD elements, each of which is driven with binary signals. Each pixel consists of 4 EPD elements. In addition, since the response time of the electrophoretic material is as long as approximately 400 ms and since the display possesses a large number of EPD elements, we have developed a special driving method suitable for changing EPD images comfortably. A complete image is formed on the AM-EPD device, consisting of a reset frame and several, typically 6, image frames.

  • PDF

Fabrication of EPD Films by Applying a.c Field Assisted Method (수직보조전계 인가방식에 의한 전기영동 전착막의 제작)

  • Jeon, Yong-Woo;Park, Seong-Beom;Soh, Dea-Wha
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.11a
    • /
    • pp.107-110
    • /
    • 2002
  • The electrophoretic deposition (EPD) technique have been applied to fabricating superconducting films and wires in former researches of our Lab. However, the particles of EPD films were usually deposited random1y on the metal substrate, the vertically combined a.c and d.c fields were applied to the EPD electrodes for orienting and densifying the particles of high $T_{c}$ superconducting deposition film on the substrate metal. Therefore, the surface states of EPD films by this combined fields could be oriented and affect to the electric properties increasing of superconducting films. The proposed method modified by a.c. assisted field to the conventional electrophoresis system was suitable to obtain improved properties with particle oriented deposition and densification.

  • PDF

EPD File Generation System Based on Template for Choosing E-paper Contents Layout

  • Son, Bong-Ki
    • Journal of the Korea Society of Computer and Information
    • /
    • v.23 no.12
    • /
    • pp.107-114
    • /
    • 2018
  • We propose EPD(Electrophoretic Display) file generation system based on template that enable users to choose contents layout for E-paper display. And also we show implementation results that apply the proposed system in digital doorsign management field adopting E-paper display. Template includes information for contents layout which is composed of standard form image to be used as background image, coordinates of area for dynamic data to be inserted, data source about dynamic data, and characteristic data of EPD panel for displaying contents. System administrator registers templates in Forms Server. When user chooses a contents layout, Forms Server automatically generates EPD file for displaying contents in E-paper by using information of the template and sends EPD file into doorsign. Strength of the proposed system lies in reflecting user preference about contents design and adding personal data into E-paper contents by smartphone application.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF