• 제목/요약/키워드: Dry Etch

검색결과 201건 처리시간 0.027초

전계방출 및 근접 광센서 응용을 위한 서브 마이크론 aperture의 제작 (Microfabrication of submicron-size hole for potential held emission and near field optical sensor applications)

  • Lee, J.W.;Park, S.S.;Kim, J.W.;M.Y. Jung;Kim, D.W.
    • 한국진공학회지
    • /
    • 제9권2호
    • /
    • pp.99-101
    • /
    • 2000
  • Submicron aperture 제작 기술은 near field optical sensor 또는 liquid metal ion source에 응용될 수 있는 가능성으로 인해 흥미를 모으고 있다. 본 실험에서는 submicron aperture 제작에 대해 기술할 것이다. 먼저 2 $\mu\textrm{m}$크기의 dot array를 광학 리소그라피 방법으로 패턴화하였다. KOH 비등방성 식각 방법으로 V-groove형을 만든 후, $1000^{\circ}C$에서 600분동안 건식 산화작업을 거쳤다. 이 산화과정에서 결정 방향에 따라 산화율이 달라지게 되는데 Si(111)면은 Si(100)면에 비해 산화율이 커서 두꺼운 산화막이 형성되며, 이 막은 연이은 건식식각 과정에서 etch-mask로 활용된다. Reactive ion etching은 ICP (Inductively Coupled Plasma) 장비를 사용하였으며, V-groove의 바닥에 형성된 90nm두께의 SiO$_2$와 그 아래의 Si을 식각하였다. 이 때, 기판에 걸린 negative bias는 $Cl_2$ RIE의 anisotropic etchig 효과를 증대시키는 것 같았으며, SEM촬영 결과 식각 후에 Si(111)면 위에는 약 130 nm정도의 산화층이 잔류하고 있었다. 이렇게 형성된 Si aperture는 향후 NSOM sensor등에 적용될 수 있을 것이다.

  • PDF

레이저유기에 의한 GaAs의 건식에칭 (Laser induced dry etching of GaAs)

  • 박세기;이천;최원철;김무성;민석기;안병성
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 1995년도 춘계학술대회 논문집
    • /
    • pp.58-61
    • /
    • 1995
  • 레이저 유기에 대한 GaAs 의 건석에칭에 있어서 기존의 $CCl_4$와CCl$_2$F$_2$ 가스를 에칭하스로 사용하는 대신에 본 연구팀이 새로 개발한 CFCs 대체가스를 사용하여 기존의 가스와의 에칭률과 그 가공된 형태를 비교하였다. 실험은 power 밀도 12.7 MW/$\textrm{cm}^2$에서 27 MW/$\textrm{cm}^2$까지로 가변시키면서 하고 에칭가스의 압력은 260 Torr에서760 Torr 까지 변화를 주면서 하였다. 빔의 주사속도는 8.3$\mu\textrm{m}$/sec에서 80$\mu\textrm{m}$/sec 까지 가변을 시켰다. 그 결과 CHCiF$_2$가스에서의 에칭율(etch rate)은 최대 136$\mu\textrm{m}$/sec이고 aspect ratio 는 2.6 이 됨을 알 수 있었다. 애칭된 형태를 측정하기 위해서 SEM(Scanning Electron Microscopy)을 사용하였으며, 시료 표면의 물질 분석을 위해서 AES(Auger Electron Spectroscopy)를 사용하였다.

  • PDF

다층 RIE Electrode를 이용한 아크릴의 O2/N2 플라즈마 건식 식각 ([O2/N2] Plasma Etching of Acrylic in a Multi-layers Electrode RIE System)

  • 김재권;김주형;박연현;주영우;백인규;조관식;송한정;이제원
    • 한국재료학회지
    • /
    • 제17권12호
    • /
    • pp.642-647
    • /
    • 2007
  • We investigated dry etching of acrylic (PMMA) in $O_2/N_2$ plasmas using a multi-layers electrode reactive ion etching (RIE) system. The multi-layers electrode RIE system had an electrode (or a chuck) consisted of 4 individual layers in a series. The diameter of the electrodes was 150 mm. The etch process parameters we studied were both applied RIE chuck power on the electrodes and % $O_2$ composition in the $N_2/O_2$ plasma mixtures. In details, the RIE chuck power was changed from 75 to 200 W.% $O_2$ in the plasmas was varied from 0 to 100% at the fixed total gas flow rates of 20 sccm. The etch results of acrylic in the multilayers electrode RIE system were characterized in terms of negatively induced dc bias on the electrode, etch rates and RMS surface roughness. Etch rate of acrylic was increased more than twice from about $0.2{\mu}m/min$ to over $0.4{\mu}m/min$ when RIE chuck power was changed from 75 to 200 W. 1 sigma uniformity of etch rate variation of acrylic on the 4 layers electrode was slightly increased from 2.3 to 3.2% when RIE chuck power was changed from 75 to 200 W at the fixed etch condition of 16 sccm $O_2/4\;sccm\;N_2$ gas flow and 100 mTorr chamber pressure. Surface morphology was also investigated using both a surface profilometry and scanning electron microscopy (SEM). The RMS roughness of etched acrylic surface was strongly affected by % $O_2$ composition in the $O_2/N_2$ plasmas. However, RIE chuck power changes hardly affected the roughness results in the range of 75-200 W. During etching experiment, Optical Emission Spectroscopy (OES) data was taken and we found both $N_2$ peak (354.27 nm) and $O_2$ peak (777.54 nm). The preliminarily overall results showed that the multi-layers electrode concept could be successfully utilized for high volume reactive ion etching of acrylic in the future.

유도 결합 BCl$_{3}$/Cl$_{2}$ 플라즈마내에서 Pt 박막의 건식 식각 (Dry etching of pt thin film in inductive coupled BCl$_{3}$/Cl$_{2}$ plasmas)

  • 김남훈;김창일;권광호;장의구
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1998년도 하계종합학술대회논문집
    • /
    • pp.375-378
    • /
    • 1998
  • Platinum thin film which hardly form volatile compounds with any reactive gas at normal process temperature was etched in inductive coupled BCl$_{3}$/Cl$_{2}$ plasma. The etch rate of platinum thin film increased with increasing Cl$_{2}$/(Cl$_{2}$ + BCl$_{3}$) ratio. That reasoned increasing of ion current density.

  • PDF

자화된 평판형 유도 결합 플라즈마의 특성 및 건식 식각에의 응용 (The Characteristics of Magnetized Planar type Inductively Coupled Plasma and its Application to a Dry Etching Process)

  • 이수부;박헌건;이석현
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1997년도 하계학술대회 논문집 C
    • /
    • pp.1364-1366
    • /
    • 1997
  • Planar type magnetized inductively coupled plasma etcher has been built. The density and temperature of Ar plasma are measured as a function of rf power, external magnetic field, and pressure. The oxide etch rate and selectivity to polysilicon are measured as the above mentioned conditions and self-bias voltage.

  • PDF

Fabrication of Gallium Phosphide Tapered Nanostructures on Selective Surfaces

  • Song, Young Min;Park, Hyun Gi
    • Applied Science and Convergence Technology
    • /
    • 제23권5호
    • /
    • pp.284-288
    • /
    • 2014
  • We present tapered nanostructures fabricated on a selective area of gallium phosphide substrates for advanced optoelectronic device applications. A lithography-free fabrication process was accomplished by dry etching of metal nanoparticles. Thermal dewetting of micro-patterned metal thin films provides etch masks for tapered nanostructures. This simple process also allows the formation of plasmonic surfaces with corrugated shapes. Rigorous coupled-wave analysis calculations provide design guidelines for tapered nanostructures on gallium phosphide substrates.

MIM 커패시터의 Metal 게이트 전극을 위한 TiN 박막의 건식 식각 연구 (Study of dry etch characteristic of TiN thin film for metal gate electrode in MIM capacitor)

  • 박정수;주영희;우종창;허경무;위재형;김창일
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2009년도 추계학술대회 초록집
    • /
    • pp.219-220
    • /
    • 2009
  • 이번 실험에서는 TiN의 건식 식각 특성을 연구하기 위해 $BCl_3/Ar/N_2$ 유도 결합플라즈마를 이용하였다. BCl3와 Ar의 가스 비율이 $BCl_3$ (5 sccm)/Ar (15 sccm)/N (4 sccm) 인 상황에서 RF power와 DC bias, 그리고 process pressure을 식각변수로 설정하였다. TiN의 식각률은 Alpha-step 500으로 측정하였고 표면의 식각 후 화학반응은 XPS로 측정하였다.

  • PDF

2단계 건식식각에 의한 GaAs Via-Hole 형성 공정 (A Via-Hole Process for GaAs MMIC's using Two-Step Dry Etching)

  • 정문식;김흥락;이지은;김범만;강봉구
    • 전자공학회논문지A
    • /
    • 제30A권1호
    • /
    • pp.16-22
    • /
    • 1993
  • A via-hole process for reproducible and reliable GaAs MMIC fabrication is described. The via-hole etching process consists of two step dry etching. During the first etching step a BC $I_{3}$/C $I_{2}$/Ar gas mixure is used to achieve high etch rate and small lateral etching. In the second etching step. CC $L_{2}$ $F_{2}$ gas is used to achieve selective etching of the GaAs substrate with respect to the front side metal layer. Via holes are formed from the backside of a 100$\mu$m thick GaAs substrate that has been evaporated initially with 500.angs. thick chromium and subsequently a 2000.angs. thick gold layer. The fabricated via holes are electroplated with gold (~20$\mu$m thick) to form via connections. The results show that established via-hole process is satisfactory for GaAs MMIC fabrication.

  • PDF

플라즈마 공정용 산화막 코팅부품의 신뢰성평가에 관한 연구

  • 송제범;이가림;신재수;이창희;신용현;김진태;강상우;윤주영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.151-151
    • /
    • 2013
  • 최근 반도체 및 디스플레이 산업에서의 플라즈마 공정의 중요성은 점점 증대되고 있다. 특히, 반도체/LCD 제조공정에서의 Dry Etch공정은 디스플레이용 유리 위에 형성된 산화막, 금속입자, 박막, 및 Polymer와 같은 불순물들을 플라즈마를 이용하여 제거하는 공정이다. 플라즈마 공정을 진행하는 동안 몇 가지 문제점들이 이슈가 되고 있다. Etch공정에서는 활성 부식가스를 많이 사용하고 장시간 플라즈마에 노출되기 때문에, 진공부품들은 플라즈마에 의해서 물리적인 이온충격(Ion Bombardment)과 화학적인 Radical 반응에 의한 부식이 진행된다. 부식영향에 의해 챔버를 구성하고 있는 부품에서 균열이 발생하거나 오염입자들이 떨어져 나오게 된다. 발생한 오염입자들은 산업용 플라즈마 공정에서 매우 심각한 문제가 되고 있다. 본 연구에서는 산화막의 부식 저항특성을 측정할 수 있는 평가방법에 대하여 고찰하였고, 표준화된 데이터로 비교분석할 수 있도록 평가기준과 규정화된 피막평가방법을 연구하였다. 또한, 산화막의 특성에 따른 플라즈마 상태, 오염입자 발생 등 플라즈마 공정을 진단하여 부품재료의 수명을 예측하고, 신뢰성 있는 평가방법에 관한 연구를 하였다.

  • PDF

Chlorine-based 유도결합 플라즈마를 이용한 PST 박막의 건식 식각 특성 (Dry etching properties of PST thin films using chlorine-based inductively coupled plasma)

  • 김관하;김경태;김동표;이철인;김창일
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.1
    • /
    • pp.400-403
    • /
    • 2003
  • Etching characteristics of (Pb,Sr)$TiO_3$(PST) thin films were investigated using inductively coupled chlorine based plasma system as functions of gas mixing ratio, RF power and DC bias voltage. It was found that increasing of Ar content in gas mixture lead to sufficient increasing of etch rate and selectivity of PST to Pt. The maximum etch rate of PST film is $562\;{\AA}$/min and the selectivity of PST film to Pt is 0.8 at $Cl_2/(Cl_2+Ar)$ of 20 %. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products.

  • PDF