• Title/Summary/Keyword: Dielectric Etching

Search Result 153, Processing Time 0.026 seconds

Polishing Characteristics of Pt Electrode Materials by Addition of Oxidizer (산화제 첨가에 따른 백금 전극 물질의 연마 특성)

  • Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1384-1385
    • /
    • 2006
  • Platinum is a candidate of top and bottom electrode in ferroelectric random access memory and dynamic random access memory. High dielectric materials and ferroelectric materials were generally patterned by plasma etching, however, the low etch rate and low etching profile were repoted. We proposed the damascene process of high dielectric materials and ferroelectric materials for patterning process through the chemical mechanical polishing process. At this time, platinum as a top electrode was used for the stopper for the end-point detection as Igarashi model. Therefore, the control of removal rate in platinum chemical mechanical polishing process was required. In this study, an addition of $H_{2}O_{2}$ oxidizer to alumina slurry could control the removal rate of platinum. The removal rate of platinum rapidly increased with an addition of 10wt% $H_{2}O_{2}$ oxidizer from 24.81nm/min to 113.59nm/min. Within-wafer non-uniformity of platinum after chemical mechanical polishing process was 9.93% with an addition of 5wt% $H_{2}O_{2}$ oxidizer.

  • PDF

The etching properties of MgO thin films in $Cl_2/Ar$ gas chemistry (유도 결합 플라즈마를 이용한 MgO 박막의 식각특성)

  • Koo, Seong-Mo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07b
    • /
    • pp.734-737
    • /
    • 2004
  • The metal-ferroelectric-semiconductor (MFS) structure is widely studied for nondestructive readout (NDRO) memory devices, but conventional MFS structure has a critical problem. It is difficult to obtain ferroelectric films like PZT on Si substrate without interdiffusion of impurities such as Pb, Ti and other elements. In order to solve these problems, the metal-ferroelectric-insulator-semiconductor (MFIS) structure has been proposed with a buffer layer of high dielectric constant such as MgO, $Y_2O_3$, and $CeO_2$. In this study, the etching characteristics (etch rate, selectivity) of MgO thin films were etched using $Cl_2/Ar$ plasma. The maximum etch rate of 85 nm/min for MgO thin films was obtained at $Cl_2$(30%)/Ar(70%) gas mixing ratio. Also, the etch rate was measured by varying the etching parameters such as ICP rf power, dc-bias voltage, and chamber pressure. Plasma diagnostics was performed by Langmuir probe (LP) and optical emission spectroscopy (OES).

  • PDF

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Capacitively Coupled Plasma Simulation for Low-k Materials Etching Process Using $H_2/N_2$ gas (저 유전 재료의 에칭 공정을 위한 $H_2/N_2$ 가스를 이용한 Capacitively Coupled Plasma 시뮬레이션)

  • Shon, Chae-Hwa
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.55 no.12
    • /
    • pp.601-605
    • /
    • 2006
  • The resistance-capacitance (RC) delay of signals through interconnection materials becomes a big hurdle for high speed operation of semiconductors which contain multi-layer interconnections in smaller scales with higher integration density. Low-k materials are applied to the inter-metal dielectric (IMD) materials in order to overcome the RC delay. Relaxation continuum (RCT) model that includes neutral-species transport model have developed to model the etching process in a capacitively coupled plasma (CCP) device. We present the parametric study of the modeling results of a two-frequency capacitively coupled plasma (2f-CCP) with $N_2/H_2$ gas mixture that is known as promising one for organic low-k materials etching. For the etching of low-k materials by $N_2/H_2$ plasma, N and H atoms have a big influence on the materials. Moreover the distributions of excited neutral species influence the plasma density and profile. We include the neutral transport model as well as plasma one in the calculation. The plasma and neutrals are calculated self-consistently by iterating the simulation of both species till a spatio-temporal steady state profile could be obtained.

Generation of Low Temperature Plasma at Atmospheric Pressure and its Application to Si Etching in Open Air (대기압 비평형 플라스마의 발생 및 규소(Si)식각에의 응용)

  • Lee, Bong-Ju
    • Journal of the Korean Ceramic Society
    • /
    • v.39 no.4
    • /
    • pp.409-412
    • /
    • 2002
  • Under atmospheric pressure, apparently homogeneous and stable plasma can be generated from insulator barrier rf plasma generators each of which has an rf powered cathode and a grounded anode covered with a dielectric insulating material. In order to characterize the generating plasma under atmospheric pressure, some basic characteristic have been evaluated by the Langmuire probe method as well as by optical emission spectroscopy. From the result of plasma characteristics, the generated plasma was verified to be nonequilibrium; T(electron)>T(excitation)>T(gas). High rate Si(100) etching (($1.5{\mu}m$/min) were achieved by using He plasma containing a small amount of $CF_4$.

A Study on the Formation of Trench Gate for High Power DMOSFET Applications (고 전력 DMOSFET 응용을 위한 트렌치 게이트 형성에 관한 연구)

  • 박훈수;구진근;이영기
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.713-717
    • /
    • 2004
  • In this study, the etched trench properties including cross-sectional profile, surface roughness, and crystalline defects were investigated depending on the various silicon etching and additive gases, For the case of HBr$He-O_2SiF_4$ trench etching gas mixtures, the excellent trench profile and minimum defects in the silicon trench were achieved. Due to the residual oxide film grown by the additive oxygen gas, which acts as a protective layer during trench etching, the undercut and defects generation in the trench were suppressed. To improve the electrical characteristics of trench gate, the hydrogen annealing process after trench etching was also adopted. Through the hydrogen annealing, the trench corners might be rounded by the silicon atomic migration at the trench corners having high potential. The rounded trench corner can afford to reduce the gate electric field and grow a uniform gate oxide. As a result, dielectric strength and TDDB characteristics of the hydrogen annealed trench gate oxide were remarkably increased compared to the non-hydrogen annealed one.

Plasma etching of $SiO_2$ using dielectric barrier discharge in atmospheric pressure (Dielectric Barlier Discharge type 대기압 플라즈마 발생장치를 이용한 $SiO_2$ 식각에 관한 연구)

  • O, Jong-Sik;Park, Jae-Beom;;Yeom, Geun-Yeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.95-95
    • /
    • 2009
  • 대기압 플라즈마 발생장치를 이용한 식각장비 개발은 낮은 공정단가, 저온 공정, 다양한 표면처리 응용 효과와 같은 이점을 가지고 있어 현재, 많은 분야에서 연구되고 있다. 본 연구에서는, dielectric barrier discharge(DBD) 방식을 이용한 대기압 발생장치를 통해 평판형 디스플레이 제작에 응용이 가능한 $SiO_2$ 층의 식각에 대한 연구를 하였다. $N_2/NF_3$ gas 조합에 $CF_4$ 또는 $C_{4}F_{8}$ gas를 부가적으로 첨가하였다. 이때 N2 60 slm / NF3 600 sccm/CF4 7 slm/Ar 200 sccm의 gas composition에서 최대 260 nm/min의 식각 속도를 얻을 수 있었다.

  • PDF

1-D Photonic Crystals Based on Bragg Structure for Sensing and Drug Delivery Applications

  • Koh, Youngdae
    • Journal of Integrative Natural Science
    • /
    • v.4 no.1
    • /
    • pp.11-14
    • /
    • 2011
  • Free-standing multilayer distributed Bragg reflectors (DBR) porous silicon dielectric mirrors, prepared by electrochemical etching of crystalline silicon using square wave currents are treated with polymethylmethacrylate (PMMA) to produce flexible, stable composite materials in which the porous silicon matrix is covered with caffeine-impregnated PMMA. Optically encoded free-standing DBR PSi dielectric mirrors retain the optical reflectivity. Optical characteristics of free-standing DBR PSi dielectric mirrors are stable and robust for 24 hrs in a pH 12 aqueous buffer solution. The appearance of caffeine and change of DBR peak were simultaneously measured by UV-vis spectrometer and Ocean optics 2000 spectrometer, respectively.

The Effects of Dielectric Coatings on Electron Emission from Tungsten

  • Al-Qudah, Ala'a M.;Alnawasreh, Shady S.;Madanat, Mazen A.;Trzaska, Oliwia;Matykiewicz, Danuta;Alrawshdeh, Saad S.;Hagmann, Mark J.;Mousa, Marwan S.
    • Applied Microscopy
    • /
    • v.47 no.1
    • /
    • pp.36-42
    • /
    • 2017
  • Field electron emission measurements were performed on dielectric-coated tungsten emitters, with apex radii in the nanometer and micrometer range, which were prepared by electrochemical etching in NaOH solution. Measurements were performed in a field electron microscopy (FEM) with a base pressure <$10^{-6}$ Pascal ($10^{-8}$ mbar). Four different types of dielectric were used, namely: (1) Clark Electromedical Instruments epoxylite resin, (2) Epidian 6 produced by Ciech Sarzyna S. A., (3) a Radionox solution of colloidal graphite; and (4) Molyslip 2001 E compound ($MoS_2$ and MoS). Current-voltage measurements and FEM images were used to investigate the characteristics of these composite emitters, and to assess how the different types of dielectric coating affect the suitability of the composite emitter as a potential electron source.

Atomic-Layer Etching of High-k Dielectric Al2O3 with Precise Depth Control and Low-Damage using BCl3 and Ar Neutral Beam

  • Kim, Chan-Gyu;Min, Gyeong-Seok;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.114-114
    • /
    • 2012
  • Metal-oxide-semiconductor field-effect transistors (MOSFETs)의 critical dimension (CD)가 sub 45 nm로 줄어듬에 따라 기존에 gate dielectric으로 사용하고 있는 SiO2에서 발생되는 high gate leakage current 때문에 새로운 high dielectric constant (k) 물질들이 연구되기 시작하였다. 여러 가지 high-k 물질 중에서, aluminum-oxide (Al2O3)는 높은 dielectric constant (~10)와 전자 터널링 barrier height (~2eV) 등을 가지기 때문에 많은 연구가 되고 있다. 그러나 Al2O3를 anisotropic한 patterning을 하기 위해 주로 사용되고 있는 halogen-based 플라즈마 식각 과정에서 나타나는 Al2O3와 하부 layer간의 낮은 식각 selectivity 뿐만 아니라 표면에 발생되는 defect, stoichiometry modification, roughness 변화 등의 많은 문제점들로 인하여 device performance가 감소하기 때문에 이를 해결하기 위한 많은 연구들이 진행중이다. 따라서 본 연구에서는 실리콘 기판위의 atomic layer deposition (ALD)로 증착된 Al2O3를 BCl3/Ar 중성빔을 이용하여 원자층 식각한 후 식각 특성을 분석해 보았다. Al2O3 표면을 BCl3로 absorption시킨 후 Ar 중성빔으로 desorption 시키는 과정에서 volatile한 aluminum-chlorides와 boron oxychloride가 형성되어 layer by layer로 제거됨을 관찰 할 수 있었다.

  • PDF