• Title/Summary/Keyword: Design power

Search Result 17,021, Processing Time 0.041 seconds

Low Power SoC Design Trends Using EDA Tools (설계툴을 사용한 저전력 SoC 설계 동향)

  • Park, Nam Jin;Joo, Yu Sang;Na, Jung-Chan
    • Electronics and Telecommunications Trends
    • /
    • v.35 no.2
    • /
    • pp.69-78
    • /
    • 2020
  • Small portable devices such as mobile phones and laptops currently display a trend of high power consumption owing to their characteristics of high speed and multifunctionality. Low-power SoC design is one of the important factors that must be considered to increase portable time at limited battery capacities. Popular low power SoC design techniques include clock gating, multi-threshold voltage, power gating, and multi-voltage design. With a decreasing semiconductor process technology size, leakage power can surpass dynamic power in total power consumption; therefore, appropriate low-power SoC design techniques must be combined to reduce power consumption to meet the power specifications. This study examines several low-power SoC design trends that reduce semiconductor SoC dynamic and static power using EDA tools. Low-power SoC design technology can be a competitive advantage, especially in the IoT and AI edge environments, where power usage is typically limited.

低電力 MCU core의 設計에 對해

  • An, Hyeong-Geun;Jeong, Bong-Yeong;No, Hyeong-Rae
    • The Magazine of the IEIE
    • /
    • v.25 no.5
    • /
    • pp.31-41
    • /
    • 1998
  • With the advent of portable electronic systems, power consumption has recently become a major issue in circuit and system design. Furthermore, the sophisticated fabrication technology makes it possible to embed more functions and features in a VLSI chip, consequently calling for both higher performance and lower power to deal with the ever growing complexity of system algorithms than in the past. VLSI designers should cope with two conflicting constraints, high performance and low power, offering an optimum trade off of these constraints to meet requirements of system. Historically, VLSI designers have focused on performance improvement, and power dissipation was not a design criteria but an afterthought. This design paradigm should be changed, as power is emerging as the most critical design constraint. In VLSI design, low power design can be accomplished through many ways, for instance, process, circuit/logic design, architectural design, and etc.. In this paper, a few low power design examples, which have been used in 8 bit micro-controller core, and can be used also in 4/16/32 bit micro-controller cores, are presented in the areas of circuit, logic and architectural design. We first propose a low power guidelines for micro-controller design in SAMSUNG, and more detailed design examples are followed applying 4 specific design guidelines. The 1st example shows the power reduction through reduction of number of state clocks per instruction. The 2nd example realized the power reduction by applying RISC(Reduced Instruction Set Computer) concept. The 3rd example is to optimize the algorithm for ALU(Arithmetic Logic Unit) to lower the power consumption, Lastly, circuit cells designed for low power are described.

  • PDF

Criteria and Limitations for Power Rails Merging in a Power Distribution Network Design

  • Chew, Li Wern
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.4
    • /
    • pp.41-45
    • /
    • 2013
  • Modern electronic devices such as tablets and smartphones are getting more powerful and efficient. The demand in feature sets, functionality and usability increase exponentially and this has posed a great challenge to the design of a power distribution network (PDN). Power rails merging is a popular option used today in a PDN design as numerous power rails are no longer feasible due to form factor limitation and cost constraint. In this paper, the criteria and limitations for power rails merging are discussed. Despite having all the advantages such as pin count reduction, decoupling capacitors sharing, lower impedance and cost saving, power rails merging can however, introduce coupling noise to the system. In view of this, a PDN design with power rails merging that fulfills design recommendations and specifications such as noise target, power well placement, voltage supply values as well as power supply quadrant assignment is extremely important.

Graphical Design Plane Analysis for Series-Compensated Resonant Energy Links of Inductive Wireless Power Transfer Systems

  • Jeong, Chae-Ho;Choi, Sung-Jin
    • Journal of Power Electronics
    • /
    • v.19 no.6
    • /
    • pp.1440-1448
    • /
    • 2019
  • In wireless power transfer systems, it is important to design resonant energy links in order to increase the power transfer efficiency and to obtain desired system performances. This paper proposes a method for designing and analyzing the resonant energy links in a series-series configured IPT (inductive power transfer) system using the FOM-rd plane. The proposed FOM-rd graphical design plane can analyze and design the voltage gain and the power efficiency of the energy links while considering changes in the misalignment between the coils and the termination load condition. In addition, the region of the bifurcation phenomena, where voltage gain peaks are split over the frequency, can also be distinctly identified on the graphical plane. An example of the design and analysis of a 100 W inductive power transfer system with the proposed method is illustrated. The proposed method is verified by measuring the voltage gain and power efficiency of implemented hardware.

A Practical Application Study on the Transmission Line Design S/W using Optimal PowerLINE - A Case Study on the 500kV Transmission Line Design in Myanmar Project - (송전선로 설계지원 프로그램의 활용에 대한 적용성 연구 - Optimal PowerLINE을 이용한 미얀마 500kV 송전선로 종단설계를 중심으로 -)

  • Yoon, Young-Soon;Kim, Tai-Young;Park, Chan-Hyeong;Cho, Su-Young;Park, Byeong-Won;Lee, Hee-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2005.07a
    • /
    • pp.542-544
    • /
    • 2005
  • Recently, the principal processes of T/L design tend to computerize and therefore integrated T/L design system has been developed. Since, it makes the automatic selection of T/L route, tower position and optimum design possible, computerized design method is applied all over the world. KEPCO introduced Optimal PowerLINE for T/L design in Myanmar 500kV project that is as a part of overseas project. And as a result of that work, effective and economical design was carried out. This paper presents the comparative analysis between Previous method and Optimal PowerLINE method for investigating practical application to Myanmar 500kV T/L design.

  • PDF

Preliminary conceptual design of a small high-flux multi-purpose LBE cooled fast reactor

  • Xiong, Yangbin;Duan, Chengjie;Zeng, Qin;Ding, Peng;Song, Juqing;Zhou, Junjie;Xu, Jinggang;Yang, Jingchen;Li, Zhifeng
    • Nuclear Engineering and Technology
    • /
    • v.54 no.8
    • /
    • pp.3085-3094
    • /
    • 2022
  • The design concept of a Small High-flux Multipurpose LBE(Lead Bismuth Eutectic) cooled Fast Reactor (SHMLFR) was proposed in the paper. The primary cooling system of the reactor is forced circulation, and the fuel element form is arc-plate loaded high enrichment MOX fuel. The core is cylindrical with a flux trap set in the center of the core, which can be used as an irradiation channel. According to the requirements of the core physical design, a series of physical design criteria and constraints were given, and the steady and transient parameters of the reactor were calculated and analyzed. Regarding the thermal and hydraulic phenomena of the reactor, a simplified model was used to conduct a preliminary analysis of the fuel plates at special positions, and the temperature field distribution of the fuel plate with the highest power density under different coolant flow rates was simulated. The results show that the various parameters of SHMLFR meet the requirements and design criteria of the physical design of the core and the thermal design of the reactor. This implies that the conceptual design of SHMLFR is feasible.

Auxiliary Power Interface Design for Power Control and Distribution Unit (전력조절분배기의 보조전원 설계)

  • Park, Sung-Woo;Jang, Jin-Beak;Park, Hee-Sung;Yoon, Hee-Kwang
    • Proceedings of the Korean Institute of IIIuminating and Electrical Installation Engineers Conference
    • /
    • 2009.10a
    • /
    • pp.239-242
    • /
    • 2009
  • Power Control and Distribution Unit (PCDU) plays roles of power generation control for solar array panel, power storage control for battery system, power conversion for unregulated and regulated primary bus and power distribution to bus and payload system. The selection and design of the proper auxiliary power interface for PCDU depending on various mission is one of the most important step for electrical power subsystem design. In this paper, the general design approach of auxiliary power interface for PCDU which can be used for small-sized LEO satellites application is given. And, the auxiliary power design concept for always alived modules such as solar array regulator and house keeping module is also suggested.

  • PDF

Practical Methodology of the Integrated Design and Power Control Unit for SHEV with Multiple Power Sources

  • Lee, Seongjun;Kim, Jonghoon
    • Journal of Electrical Engineering and Technology
    • /
    • v.11 no.2
    • /
    • pp.353-360
    • /
    • 2016
  • Series hybrid electric vehicles (SHEVs) having multiple power sources such as an engine- generator (EnGen), a battery, and an ultra-capacitor require a power control unit with high power density and reliable control operation. However, manufacturing using separate individual power converters has the disadvantage of low power density and requires a large number of power and signal cable wires. It is also difficult to implement the optimal power distribution and fault management algorithm because of the communication delay between the units. In order to address these concerns, this approach presents a design methodology and a power control algorithm of an integrated power converter for the SHEVs powered by multiple power sources. In this work, the design methodology of the integrated power control unit (IPCU) is firstly elaborately described, and then efficient and reliable power distribution algorithms are proposed. The design works are verified with product-level and vehicle-level performance experiments on a 10-ton SHEV.

A Study on Design Elements of Main Control Room in Nuclear Power Plants by Analyzing Space Characteristics (원자력발전소 주제어실의 공간특성에 따른 디자인 요소에 관한 연구)

  • Lee, Seung-Hoon;Lee, Tae-Yeon
    • Korean Institute of Interior Design Journal
    • /
    • v.19 no.6
    • /
    • pp.249-256
    • /
    • 2010
  • For guaranteeing for security of nuclear power plant, ergonomic factors have been applied to design of main control room, core area for management and control of nuclear power plant, but design elements for performance of operators have been ignored. As the behaviors of operators are important for security of nuclear power plant, space design which makes them pleasant psychologically and makes them maintain attention on security equipments ceaselessly is required. Therefore, the purpose of this study is to analyze space characteristics of main control rooms according to regulations of nuclear power plant and general guidelines of space design, and to offer basic data for designing of main control room which makes operators pleasant psychologically and physically. At first, theoretical issues related with design of main control room are reviewed and several premises of space are developed by abstracting design elements from common space and regulations of nuclear power plant and, then integrating each design elements interactively. In short, the improvement of system environment based on human-machine interface space has brought about perceptual, cognitive, and spatial changes and has realized next generation of main control rooms. And, differences and similarities between ordinary space and main control room, which ergonomic sizes and regulations are applied and is VDT environment based on LDP, are discussed in relation to 13 design elements and 17 space premise.

A Study on The Database Design and Construction of Power System Operational Planning System (전력수급계획시스템 데이터베이스 설계 및 구축에 관한 연구)

  • Ahn, Yang-Keun;Park, Si-Woo;Nam, Jae-Hyun
    • Proceedings of the KIEE Conference
    • /
    • 1998.07c
    • /
    • pp.1012-1014
    • /
    • 1998
  • This paper presents a database design for power system operational planning system of the integrated system for power system operational planning and analysis that will be more economical and stable of power system operation. An alias of the integrated system is Highly Integrated Total Energy System(HITES). We first describe the requirements for the Power System Planning System of HTES. Database design is processed in two phases(conceptual design and physical design), and CDM(Conceptual Data Model) and PDM(Physical Data Model) are generated by Powerdesigner(CASE tool for database design). In the future, the constructed database needs to be tested and tuning.

  • PDF