• Title/Summary/Keyword: Cu-Cu bonding

Search Result 330, Processing Time 0.025 seconds

Optimal pressure and temperature for Cu-Cu direct bonding in three-dimensional packaging of stacked integrated circuits

  • Seunghyun Yum;June Won Hyun
    • Journal of the Korean institute of surface engineering
    • /
    • v.56 no.3
    • /
    • pp.180-184
    • /
    • 2023
  • Scholars have proposed wafer-level bonding and three-dimensional (3D) stacked integrated circuit (IC) and have investigated Cu-Cu bonding to overcome the limitation of Moore's law. However, information about quantitative Cu-Cu direct-bonding conditions, such as temperature, pressure, and interfacial adhesion energy, is scant. This study determines the optimal temperature and pressure for Cu-Cu bonding by varying the bonding temperature to 100, 150, 200, 250, and 350 ℃ and pressure to 2,303 and 3,087 N/cm2. Various conditions and methods for surface treatment were performed to prevent oxidation of the surface of the sample and remove organic compounds in Cu direct bonding as variables of temperature and pressure. EDX experiments were conducted to confirm chemical information on the bonding characteristics between the substrate and Cu to confirm the bonding mechanism between the substrate and Cu. In addition, after the combination with the change of temperature and pressure variables, UTM measurement was performed to investigate the bond force between the substrate and Cu, and it was confirmed that the bond force increased proportionally as the temperature and pressure increased.

The Direct Bonding of Copper to Alumina by $Cu-Cu_2$O Eutectic Reaction (Cu-C$u_2$O의 공정반응에 의한 구리와 알루미나의 직접접합)

  • Yu, Hwan-Seong;Lee, Im-Yeol
    • Korean Journal of Materials Research
    • /
    • v.2 no.4
    • /
    • pp.241-247
    • /
    • 1992
  • The direct bonding of Cu to $Al_2O_3$, employing the $Cu-Cu_2$O eutectic skin melt, is investigated. The bonding force and interface structure of samples prepared by oxidation at $1015^{\circ}C$ in $1.5{\times}10^{-1}$torr followed by bonding at 107$5^{\circ}C$ under $10_{-3}$ torr vacuum have been studied using peeling test, SEM, EDS and XRD. It has been found that the optimal strength is obtained for 3 minutes of oxidation while the adhesion force is decreased with oxidation shorter or longer than 3 minutes. The rupture occured at alumina-eutectic interface. Fractured surface of $Al_2O_3$covered with $Cu_2$O nodules pulled out of the Cu indicates that bonding strength is governed by $Cu-Cu_2$O interface and not by $Cu_2$O-A$l_2O_3$interface. The bonding force is slightly increased with bonding time and the reaction phases of CuA$l_2O_4$and $CuAlO_2$are formed at interface during the bonding.

  • PDF

Direct Bonding of Cu/AlN using Cu-Cu2O Eutectic Liquid (Cu-Cu2O계 공융액상을 활용한 Cu/AlN 직접접합)

  • Hong, Junsung;Lee, Jung-Hoon;Oh, You-Na;Cho, Kwang-Jun;Riu, Doh-Hyung;Oh, Sung-Tag;Hyun, Chang-Yong
    • Journal of Powder Materials
    • /
    • v.20 no.2
    • /
    • pp.114-119
    • /
    • 2013
  • In the DBC (direct bonding of copper) process the oxygen partial pressure surrounding the AlN/Cu bonding pairs has been controlled by Ar gas mixed with oxygen. However, the direct bonding of Cu with sound interface and good adhesion strength is complicated process due to the difficulty in the exact control of oxygen partial pressure by using Ar gas. In this study, we have utilized the in-situ equilibrium established during the reaction of $2CuO{\rightarrow}Cu_2O$ + 1/2 $O_2$ by placing powder bed of CuO or $Cu_2O$ around the Cu/AlN bonding pair at $1065{\sim}1085^{\circ}C$. The adhesion strength was relatively better in case of using CuO powder than when $Cu_2O$ powder was used. Microstructural analysis by optical microscopy and XRD revealed that the interface of bonding pair was composed of $Cu_2O$, Cu and small amount of CuO phase. Thus, it is explained that the good adhesion between Cu and AlN is attributed to the wetting of eutectic liquid formed by reaction of Cu and $Cu_2O$.

Effect of Ag Nanolayer in Low Temperature Cu/Ag-Ag/Cu Bonding (저온 Cu/Ag-Ag/Cu 본딩에서의 Ag 나노막 효과)

  • Kim, Yoonho;Park, Seungmin;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.28 no.2
    • /
    • pp.59-64
    • /
    • 2021
  • System-in-package (SIP) technology using heterogeneous integration is becoming the key of next-generation semiconductor packaging technology, and the development of low temperature Cu bonding is very important for high-performance and fine-pitch SIP interconnects. In this study the low temperature Cu bonding and the anti-oxidation effect of copper using porous Ag nanolayer were investigated. It has been found that Cu diffuses into Ag faster than Ag diffuses into Cu at the temperatures from 100℃ to 200℃, indicating that solid state diffusion bonding of copper is possible at low temperatures. Cu bonding using Ag nanolayer was carried out at 200℃, and the shear strength after bonding was measured to be 23.27 MPa.

The Bonding Nature and Low-Dimensional Magnetic Properties of Layered Mixed Cu(II)-Ni(II) Hydroxy Double Salts

  • Park, Seong-Hun;Huh, Young-Duk
    • Bulletin of the Korean Chemical Society
    • /
    • v.34 no.3
    • /
    • pp.768-772
    • /
    • 2013
  • Layered mixed metal hydroxy double salts (HDS) with the formulas $(Cu_{0.75}Ni_{0.25})_2(OH)_3NO_3$ ((Cu, Ni)-HDS) and $Cu_2(OH)_3NO_3$ ((Cu, Cu)-HDS) were prepared via slow hydrolysis reactions of CuO with $Ni(NO_3)_2$ and $Cu(NO_3)_2$, respectively. The crystal structures, morphologies, bonding natures, and magnetic properties of (Cu, Ni)-HDS and (Cu, Cu)-HDS were characterized with X-ray diffraction (XRD), scanning electron microscopy (SEM), Fourier transformation infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), and a superconducting quantum interference device (SQUID). Even though (Cu, Ni)-HDS has a similar layered structure to that of (Cu, Cu)-HDS, the bonding nature of (Cu, Ni)-HDS is slightly different from that of (Cu, Cu)-HDS. Therefore, the magnetic properties of (Cu, Ni)-HDS are significantly different from those of (Cu, Cu)-HDS. The origin of the abnormal magnetic properties of (Cu, Ni)-HDS can be explained in terms of the bonding natures of the interlayer and intralayer structures.

Cu/SiO2 CMP Process for Wafer Level Cu Bonding (웨이퍼 레벨 Cu 본딩을 위한 Cu/SiO2 CMP 공정 연구)

  • Lee, Minjae;Kim, Sarah Eunkyung;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.2
    • /
    • pp.47-51
    • /
    • 2013
  • Chemical mechanical polishing (CMP) has become one of the key processes in wafer level stacking technology for 3D stacked IC. In this study, two-step CMP process was proposed to polish $Cu/SiO_2$ hybrid bonding surface, that is, Cu CMP was followed by $SiO_2$ CMP to minimize Cu dishing. As a result, Cu dishing was reduced down to $100{\sim}200{\AA}$ after $SiO_2$ CMP and surface roughness was also improved. The bonding interface showed no noticeable dishing or interface line, implying high bonding strength.

Warpage and Stress Simulation of Bonding Process-Induced Deformation for 3D Package Using TSV Technology (TSV 를 이용한 3 차원 적층 패키지의 본딩 공정에 의한 휨 현상 및 응력 해석)

  • Lee, Haeng-Soo;Kim, Kyoung-Ho;Choa, Sung-Hoon
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.29 no.5
    • /
    • pp.563-571
    • /
    • 2012
  • In 3D integration package using TSV technology, bonding is the core technology for stacking and interconnecting the chips or wafers. During bonding process, however, warpage and high stress are introduced, and will lead to the misalignment problem between two chips being bonded and failure of the chips. In this paper, a finite element approach is used to predict the warpages and stresses during the bonding process. In particular, in-plane deformation which directly affects the bonding misalignment is closely analyzed. Three types of bonding technology, which are Sn-Ag solder bonding, Cu-Cu direct bonding and SiO2 direct bonding, are compared. Numerical analysis indicates that warpage and stress are accumulated and become larger for each bonding step. In-plane deformation is much larger than out-of-plane deformation during bonding process. Cu-Cu bonding shows the largest warpage, while SiO2 direct bonding shows the smallest warpage. For stress, Sn-Ag solder bonding shows the largest stress, while Cu-Cu bonding shows the smallest. The stress is mainly concentrated at the interface between the via hole and silicon chip or via hole and bonding area. Misalignment induced during Cu-Cu and Sn-Ag solder bonding is equal to or larger than the size of via diameter, therefore should be reduced by lowering bonding temperature and proper selection of package materials.

Cu Thickness Effects on Bonding Characteristics in Cu-Cu Direct Bonds (Cu 두께에 따른 Cu-Cu 열 압착 웨이퍼 접합부의 접합 특성 평가)

  • Kim, Jae-Won;Jeong, Myeong-Hyeok;Carmak, Erkan;Kim, Bioh;Matthias, Thorsten;Lee, Hak-Joo;Hyun, Seung-Min;Park, Young-Bae
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.17 no.4
    • /
    • pp.61-66
    • /
    • 2010
  • Cu-Cu thermo-compression bonding process was successfully developed as functions of the deposited Cu thickness and $Ar+H_2$ forming gas annealing conditions before and after bonding step in order to find the low temperature bonding conditions of 3-D integrated technology where the interfacial toughness was measured by 4-point bending test. Pre-annealing with $Ar+H_2$ gas at $300^{\circ}C$ is effective to achieve enough interfacial adhesion energy irrespective of Cu film thickness. Successful Cu-Cu bonding process achieved in this study results in delamination at $Ta/SiO_2$ interface rather than Cu/Cu interface.

Fabrication of Porous Cu Layers on Cu Pillars through Formation of Brass Layers and Selective Zn Etching, and Cu-to-Cu Flip-chip Bonding (황동층의 형성과 선택적 아연 에칭을 통한 구리 필라 상 다공성 구리층의 제조와 구리-구리 플립칩 접합)

  • Wan-Geun Lee;Kwang-Seong Choi;Yong-Sung Eom;Jong-Hyun Lee
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.30 no.4
    • /
    • pp.98-104
    • /
    • 2023
  • The feasibility of an efficient process proposed for Cu-Cu flip-chip bonding was evaluated by forming a porous Cu layer on Cu pillar and conducting thermo-compression sinter-bonding after the infiltration of a reducing agent. The porous Cu layers on Cu pillars were manufactured through a three-step process of Zn plating-heat treatment-Zn selective etching. The average thickness of the formed porous Cu layer was approximately 2.3 ㎛. The flip-chip bonding was accomplished after infiltrating reducing solvent into porous Cu layer and pre-heating, and the layers were finally conducted into sintered joints through thermo-compression. With reduction behavior of Cu oxides and suppression of additional oxidation by the solvent, the porous Cu layer densified to thickness of approximately 1.1 ㎛ during the thermo-compression, and the Cu-Cu flip-chip bonding was eventually completed. As a result, a shear strength of approximately 11.2 MPa could be achieved after the bonding for 5 min under a pressure of 10 MPa at 300 ℃ in air. Because that was a result of partial bonding by only about 50% of the pillars, it was anticipated that a shear strength of 20 MPa or more could easily be obtained if all the pillars were induced to bond through process optimization.

Forming Characteristics for the Bundle Extrusion of Cu-Ti Bimetal Wires (구리-타이타늄 복합선재의 번들압출 성형특성)

  • Lee, Y.S.;Kim, J.S.;Yoon, S.H.;Lee, H.Y.
    • Transactions of Materials Processing
    • /
    • v.18 no.4
    • /
    • pp.342-346
    • /
    • 2009
  • Forming characteristics for the bundle extrusion of Cu-Ti bimetal wires are investigated, which can identify the process conditions for weak mechanical bonding at the contact surface during the direct extrusion of a Cu-Ti bimetal wire bundle. Bonding mechanism between Cu and Ti is assumed as a cold pressure welding. Then, the plastic deformation at the contact zone causes mechanical bonding and a new bonding criterion for pressure welding is developed as a function of the principal stretch ratio and normal pressure at the contact surface by analyzing micro local extrusion at the contact zone. The averaged deformation behavior of Cu-Ti bimetal wire is adopted as a constitutive behavior at a material point in the finite element analysis of Cu-Ti wire bundle extrusion. Various process conditions for bundle extrusions are examined. The deformation histories at the three points, near the surface, in the middle and near the center, in the cross section of a bundle are traced and the proposed new bonding criterion is applied to predict whether the mechanical bonding at the Cu-Ti contact surface happens. Finally, a process map for the direct extrusion of Cu-Ti bimetal wire bundle is proposed.