• Title/Summary/Keyword: Copper CMP

Search Result 80, Processing Time 0.029 seconds

A Study of Data correction method when in-situ end point detection in Chemical-Mechanical Polishing of Copper Overlay (구리 박막 CMP의 실시간 end point detection을 위한 데이터 정밀도 개선 방법에 관한 연구)

  • Kim, Nam-Woo;Hur, Chang-Wu
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.18 no.6
    • /
    • pp.1401-1406
    • /
    • 2014
  • Knowledge of the manufacturing process of semiconductor devices in order to obtain a copper pattern using chemical mechanical polishing (CMP) planarization using a Wafer polishing process is applied with a thickness of the copper measured in real time, which need to be precisely controlled by, where the acquisition the actual thickness of the sensor value with the calculated value in terms of error can occur in the process. Approximated the actual measurement values so as to obtain a method using a simple average, moving average, compared to the results using filters onggo Strom real-time measurements of the thickness of the units of the control system to reduce the variation in the implementation of the method described for the.

A Study on the Corrosion Effects by Addition of Complexing Agent in the Copper CMP Process

  • Kim, Sang-Yong;Kim, Nam-Hoon;Kim, In-Pyo;Chang, Eui-Goo;Seo, Yong-Jin;Chung, Hun-Sang
    • Transactions on Electrical and Electronic Materials
    • /
    • v.4 no.6
    • /
    • pp.28-31
    • /
    • 2003
  • Copper CMP in terms of the effect of slurry chemicals (oxidizer, corrosion inhibitor, complexing agent) on the process characteristics has been performed. Corrosion inhibitors, benzotriazole (BTA) and tolytriazol (TTA) were used to control the removal rate and avoid isotropic etching. When complexing agent is added with H$_2$O$_2$ 2 wt% in the slurry, the corrosion rate was presented very well. In the case of complexing agent, it was estimated that the proper concentration is 1 wt%, because the addition of tartaric acid to alumina slurry causes low pH and the slurry dispersion stability become unstable. There was not much change of the removal rate. It was assumed that BTA 0.05 wt% is suitable. Most of all, it was appeared that BTA is possible to be replaced by TTA. TTA was distinguished for the effect among complexing agents.

Corrosion Characteristics by Oxidizers for Copper CMP Slurry (구리 CMP 슬러리중 산화제의 부식 특성)

  • Lee, Do-Won;Kim, In-Pyo;Kim, Nam-Hoon;Kim, Sang-Yong;Kim, Tae-Hyung;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.339-342
    • /
    • 2003
  • The corrosion characteristics of Copper by oxidizers in Cu CMP slurry has been investigated. Key experimental variables that has been investigate are the corrosion rate by different oxidizers containing slurry of Cu CMP. Oxidizers in Cu CMP slurry reacts with Cu surfaces to raise the oxidation state of the metal via a reduction-oxidation reaction, resulting in either dissolution of the Cu or the formation of Ta surface film on the metal.[1] When Cu films were corroded adding each oxidizer, corrosion rate increased as much as higher Icorrosion. The corrosion rate of Cu was the largest as added $(NH_4)_2S_2O_8$. The higher content of Urea Hydrogen peroxide was, the higher corrosion rate was measured. Putting in tartaric acid as complexing agent, the corrosion rates of the compounds(Urea hydrogen peroxide+$H_2O_2$) are uniformly. As a result of Cu corrosion by $Cu(NO_3)_2$, the high corrosion rate was determined by even small amounts of $Cu(NO_3)_2$. Consequently, this can be explained by assuming that corrosion by oxidizers has primary effects on the removal rate of Cu and the proper oxidizer needs to be chosen in accordance with relationship of each slurry agent.

  • PDF

Effect of buffing on particle removal in post-Cu CMP cleaning (구리 CMP 후 연마입자 제거에 버프 세정의 효과)

  • Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Proceedings of the KSME Conference
    • /
    • 2008.11a
    • /
    • pp.1880-1884
    • /
    • 2008
  • Cleaning is required following CMP (chemical mechanical planarization) to remove particles. The minimization of particle residue is required with each successive technology generation, and the cleaning of wafers becomes more complicated. In copper damascene process for interconnection structure, it utilizes 2-steop CMP consists of Cu CMP and barrier CMP. Such a 2-steps CMP process leaves a lot of abrasive particles on the wafer surface, cleaning is required to remove abrasive particles. In this study, the buffing is performed various conditions as a cleaning process. The buffing process combined mechanical cleaning by friction between a wafer and a buffing pad and chemical cleaning by buffing solution consists of tetramethyl ammonium hydroxide (TMAH)/benzotriazole(BTA).

  • PDF

Corrosion Characteristics of Diffusion Barrier in Copper CMP (구리 CMP시 확산방지막의 부식특성)

  • Lee, Do-Won;Kim, Nam-Hoon;Lim, Jong-Heun;Kim, Sang-Yong;Lee, Chul-In;Chang, Eui-Gu
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.162-165
    • /
    • 2003
  • The corrosion characteristics of diffusion barrier in Copper CMP has been investigated. Key experimental variables that has been investigated are the corrosion rate by different agents containing slurry of Cu CMP. Whenever Cu and Ta films were corroded adding each oxidizer, the corrosion rate of Ta was much lower than that of Cu. That is, the difference in the corrosion rates of Ta by oxidizer was not larger as compared with Cu. As corroded by complexing agents, the corrosion rate of Ta was close to O. The corrosion rate of Ta increased as added $HNO_3$ and $CH_3COOH$ compared with the reference slurry; on the other hand, it decreased with addition of HF. In addition, resulting corrosion rate went up with lower pH of agent. The corrosion rates by agents were however significant small; hence, it doesn't affect on the removal rate of Cu CMP practically. Consequently, this can be explained by assuming that the mechanical effect dominates than the chemical effect on the polishing rate of Ta(TaN).

  • PDF

Effect of Chemical Mechanical Cleaning(CMC) on Particle Removal in Post-Cu CMP Cleaning (구리 CMP 후 연마입자 제거에 화학 기계적 세정의 효과)

  • Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.33 no.10
    • /
    • pp.1023-1028
    • /
    • 2009
  • Cleaning is required following CMP (chemical mechanical planarization) to remove particles. The minimization of particle residue is required with each successive technology generation, and the cleaning of wafers becomes more complicated. In copper damascene process for interconnection structure, it utilizes 2-step CMP consists of Cu and barrier CMP. Such a 2-steps CMP process leaves a lot of abrasive particles on the wafer surface, cleaning is required to remove abrasive particles. In this study, the chemical mechanical cleaning(CMC) is performed various conditions as a cleaning process. The CMC process combined mechanical cleaning by friction between a wafer and a pad and chemical cleaning by CMC solution consists of tetramethyl ammonium hydroxide (TMAH) / benzotriazole (BTA). This paper studies the removal of abrasive on the Cu wafer and the cleaning efficiency of CMC process.

Titration methods of $H_2O_2$ in Cu/TaN CMP (Cu/TaN CMP시 $H_2O_2$ 적정방법)

  • Yoo, Hae-Young;Kim, Nam-Hoon;Kim, Sang-Yong;Kim, Tae-Hyung;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.04b
    • /
    • pp.38-41
    • /
    • 2004
  • The oxidizer plays an important role in the metal chemical mechanical polishing(CMP) slurry. Currently, the oxidizer used in CMP slurry is nearly divided into several kinds such as $Fe(NO_3)_3$, $H_2O_2$, $KIO_3$, and $H_5IO_6$. It is generally known that oxidizer character of $H_2O_2$ is more effective than other oxidizers. In this work, we have been studied the characteristics for the $H_2O_2$ concentration of copper slurry, which can applicable in the recent semiconductor manufacturing process. Also, it plays an important role in the planarization of copper films using copper slurries during micro-electronic device fabrication. In this work, we confirmed that removal rate of Cu/TaN changed by $H_2O_2$ concentration on copper slurry. And we used $KMnO_4$ in the measurement method of $H_2O_2$. In analysis results, we confirmed that the difference of results is large. We thought that the difference was due to organic component existence. So in titration method of $H_2O_2$ concentration, we used $Na_2S_2O_3$ instead of $KMnO_4$ as solution. Consequently, using the titration method, we could calculate correct data reduced error. And $H_2O_2$ concentration has been adjusted to the target concentration of 0.1 wt%.

  • PDF

Effect of Amine Functional Group on Removal Rate Selectivity between Copper and Tantalum-nitride Film in Chemical Mechanical Polishing

  • Cui, Hao;Hwang, Hee-Sub;Park, Jin-Hyung;Paik, Ungyu;Park, Jea-Gun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.546-546
    • /
    • 2008
  • Copper (Cu) Chemical mechanical polishing (CMP) has been an essential process for Cu wifing of DRAM and NAND flash memory beyond 45nm. Copper has been employed as ideal material for interconnect and metal line due to the low resistivity and high resistant to electro-migration. Damascene process is currently used in conjunction with CMP in the fabrication of multi-level copper interconnects for advanced logic and memory devices. Cu CMP involves removal of material by the combination of chemical and mechanical action. Chemicals in slurry aid in material removal by modifying the surface film while abrasion between the particles, pad, and the modified film facilitates mechanical removal. In our research, we emphasized on the role of chemical effect of slurry on Cu CMP, especially on the effect of amine functional group on removal rate selectivity between Cu and Tantalum-nitride (TaN) film. We investigated the two different kinds of complexing agent both with amine functional group. On the one hand, Polyacrylamide as a polymer affected the stability of abrasive, viscosity of slurry and the corrosion current of copper film especially at high concentration. At higher concentration, the aggregation of abrasive particles was suppressed by the steric effect of PAM, thus showed higher fraction of small particle distribution. It also showed a fluctuation behavior of the viscosity of slurry at high shear rate due to transformation of polymer chain. Also, because of forming thick passivation layer on the surface of Cu film, the diffusion of oxidant to the Cu surface was inhibited; therefore, the corrosion current with 0.7wt% PAM was smaller than that without PAM. the polishing rate of Cu film slightly increased up to 0.3wt%, then decreased with increasing of PAM concentration. On the contrary, the polishing rate of TaN film was strongly suppressed and saturated with increasing of PAM concentration at 0.3wt%. We also studied the electrostatic interaction between abrasive particle and Cu/TaN film with different PAM concentration. On the other hand, amino-methyl-propanol (AMP) as a single molecule does not affect the stability, rheological and corrosion behavior of the slurry as the polymer PAM. The polishing behavior of TaN film and selectivity with AMP appeared the similar trend to the slurry with PAM. The polishing behavior of Cu film with AMP, however, was quite different with that of PAM. We assume this difference was originated from different compactness of surface passivation layer on the Cu film under the same concentration due to the different molecular weight of PAM and AMP.

  • PDF

Dependence of Dishing on Fluid Pressure during Chemical Mechanical Polishing

  • Higgs III, C. Fred;Ng, Sum Huan;Zhou, Chunhong;Yoon, In-Ho;Hight, Robert;Zhou, Zhiping;Yap, LipKong;Danyluk, Steven
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.10b
    • /
    • pp.441-442
    • /
    • 2002
  • Chemical mechanical polishing (CMP) is a manufacturing process that uses controlled wear to planarize dielectric and metallic layers on silicon wafers. CMP experiments revealed that a sub-ambient film pressure developed at the wafer/pad interface. Additionally, dishing occurs in CMP processes when the copper-in-trench lines are removed at a rate higher than the barrier layer. In order to study dishing across a stationary wafer during polishing, dishing maps were created. Since dishing is a function of the total contact pressure resulting from the applied load and the fluid pressure, the hydrodynamic pressure model was refined and used in an existing model to study copper dishing. Density maps, highlighting varying levels of dishing across the wafer face at different radial positions, were developed. This work will present the results.

  • PDF