• 제목/요약/키워드: Chemical Mechanical Polishing (CMP)

검색결과 428건 처리시간 0.026초

Oscar형 연마기를 이용한 대면적 OLED용 LTPS 박막의 CMP 처리 및 세정 공정 개선 (Improvement of CMP and Cleaning Process of Large Size OLED LTPS Thin Film Using Oscar Type Polisher)

  • 심고운;이현택;송종국
    • 반도체디스플레이기술학회지
    • /
    • 제21권4호
    • /
    • pp.71-76
    • /
    • 2022
  • We evaluated and developed a 6th generation large-size polisher in the type of face-up and Oscar. We removed the hillocks of the low temperature poly-silicon (LTPS) thin film with this polisher. The surface roughness of LTPS was lowered from 7.9 nm to 0.6 nm after CMP(chemical mechanical polishing). The thickness of the LTPS is measured through reflectance in real time during polishing, and the polishing process is completed according to this thickness. The within glass non-uniformity (WIGNU) was 6.2% and the glass-to-glass non-uniformity (GTGNU) was 2.5%, targeting the LTPS thickness of 400Å. In addition, the residual slurry after the CMP process was removed through the Core Flow PVA Brush and alkaline chemical.

CMP 공정에서 발생하는 연마온도 분포에 관한 연구 (A Study on the Distribution of Friction Heat generated by CMP Process)

  • 김형재;권대희;정해도;이용숙;신영재
    • 한국정밀공학회지
    • /
    • 제20권3호
    • /
    • pp.42-49
    • /
    • 2003
  • In this paper, we provide the results of polishing temperature distribution by way of infrared ray measurement system as well as polishing resistance, which can be interpreted as tribological aspects of CMP, using force measurement system. The results include the trend of polishing temperature, its distribution profile and temperature change during polishing. The results indicate that temperature affects greatly to the removal rate. Polishing temperature increases gradually and reaches steady state temperature and the period of temperature change occurs first tens of seconds. Furthermore, the friction force also varies as the same pattern with polishing temperature from high friction to low. These results suggest that the first period of the whole polishing time greatly affects the nonuniformity of removal rate.

다이아몬드 형상에 따른 컨디셔너 디스크의 특성 평가 (The Characterization of the Conditioner Disks with Various Diamond Shapes)

  • 김규채;강영재;유영삼;박진구;원영만;오광호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2006년도 하계학술대회 논문집 Vol.7
    • /
    • pp.563-564
    • /
    • 2006
  • Recently, CMP (Chemical Mechanical Polishing) is one of very important processing in semiconductor technology because of large integration and application of design role. CMP is a planarization process of wafer surface using the chemical and mechanical reactions. One of the most important components of the CMP system is the polishing pad. During the CMP process, the pad itself becomes smoother and glazing. Therefore it is necessary to have a pad conditioning process to refresh the pad surface, to remove slurry debris and to supply the fresh slurry on the surface. A diamond disk use during the pad conditioning. There are diamonds on the surface of diamond disk to remove slurry debris and to polish pad surface slightly, so density, shape and size of diamond are very important factors. In. this study, we characterized diamond disk with 9 kinds of sample.

  • PDF

텅스텐 CMP에서 디싱 및 에로젼 결함 감소에 관한 연구 (A Study on the Reduction of Dishing and Erosion Defects)

  • 정해도;박범영;김호윤;김형재
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.140-143
    • /
    • 2004
  • Chemical mechanical polishing(CMP) is essential technology to secure the depth of focus through the global planarization of wafer. But a variety of defects such as contamination, scratch, dishing, erosion and corrosion are occurred during CMP. Especially, dishing and erosion defects increase the resistance because they decrease the interconnect section area, and ultimately reduce the life time of the semiconductor. Due to this dishing and erosion must be prohibited. The pattern density and size in chip have a significant influence on dishing and erosion occurred over-polishing. Decreasing of abrasive concentration results in advanced pattern selectivity which can lead the uniform removal in chip and decrease of over-polishing. The fixed abrasive pad was applied and tested to reduce dishing and erosion in this paper. Consequently, reduced dishing and erosion was observed in CMP of tungsten pattern wafer with proposed fixed abrasive pad and chemicals.

  • PDF

가스센서 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film)

  • 최권우;이우선;박정민;최석조;박도성;김남오
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2004년도 하계학술대회 논문집 C
    • /
    • pp.1600-1604
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF

DOE 방법을 이용한 Cu CMP 공정 변수의 최적화 (Optimization of Cu CMP Process Parameter using DOE Method)

  • 최민호;김남훈;김상용;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.711-714
    • /
    • 2004
  • Chemical mechanical polishing (CMP) has been widely accepted for the global planarization of multi-layer structures in semiconductor manufacturing. However, it still has various problems to the CMP equipment, in particular, among the CMP components, process variables are very important parameters in determining the removal rate and non-uniformity. Using a design of experiment (DOE) approach, this study was performed investigating the interaction between the various parameters such as turntable and head speed, down force and back pressure during CMP. Using statistical analysis techniques, a better understanding of the interaction behavior between the various parameters and the effect on removal rate, no-uniformity and ETC (edge to center) is achieved.

  • PDF

산화제 첨가에 따른 $WO_3$ 박막의 CMP 특성 (Characteristic of Addition Oxidizer on the $WO_3$ Thin Film CMP)

  • 이우선;고필주;최권우;김태완;최창주;오금곤;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.313-316
    • /
    • 2004
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics(ILD). we investigated the performance of $WO_3$ CMP used silica slurry, ceria slurry, tungsten slurry In this paper, the effects of addition oxidizer on the $WO_3$ CMP characteristics were investigated to obtain the higher removal rate and lower non-uniformity.

  • PDF

슬러리 종류에 따른 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film by different slurry)

  • 최권우;이우선;고필주;김태완;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.389-392
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and non-uniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between particle size and CMP with particle size analysis of used slurry.

  • PDF

$WO_3$ CMP의 광역평탄화 특성 (Global planarization Characteristic of $WO_3$ CMP)

  • 이우선;고필주;최권우;이영식;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 추계학술대회 논문집 Vol.16
    • /
    • pp.188-191
    • /
    • 2003
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). we investigated the performance of $WO_3$ CMP used silica slurry, ceria slurry, tungsten slurry. In this paper, the effects of addition oxidizer on the $WO_3$ CMP characteristics were investigated to obtain the higher removal rate and lower non-uniformity.

  • PDF

실리카 입자의 형상과 표면 특성이 산화막 CMP에 미치는 영향 (Effect of shape and surface properties of hydrothermaled silica particles in chemical mechanical planarization of oxide film)

  • 정정환;임형미;김대성;백운규;이승호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 추계학술대회 논문집 Vol.21
    • /
    • pp.161-161
    • /
    • 2008
  • The oxide film of silicon wafer has been mainly polished by fumed silica, colloidal silica or ceria slurry. Because colloidal silica slurry is uniform and highly dispersed composed of spherical shape particles, by which the oxide film polished remains to be less scratched in finishing polishing process. Even though the uniformity and spherical shape is advantage for reducing the scratch, it may also be the factor to decrease the removal rate. We have studied the correlation of silica abrasive particles and CMP characteristics by varying pH, down force, and table rotation rate in polishing. It was found that the CMP polishing is dependent on the morphology, aggregation, and the surface property of the silica particles.

  • PDF