• Title/Summary/Keyword: BIST Scheme

Search Result 29, Processing Time 0.025 seconds

A Study on the Built-In Self-Test for AC Parameter Testing of SDRAM using Image Graphic Controller

  • Park, Sang-Bong;Park, Nho-Kyung;Kim, Sang-Hun
    • The Journal of the Acoustical Society of Korea
    • /
    • v.20 no.1E
    • /
    • pp.14-19
    • /
    • 2001
  • We have proposed BIST method and circuit for embedded 16M SDRAM with logic. It can test the AC parameter of embedded 16M SDRAM using the BIST circuit capable of detecting the address of a fail cell installed in an Merged Memory with Logic(MML). It generates the information of repair for redundancy circuit. The function and AC parameter of the embedded memory can also be tested using the proposed BIST method. It is possible to test the embedded SDRAM without external test pin. The total gate of the BIST circuit is approximately 4,500 in the case of synthesizing by 0.25μm cell library and is verified by Verilog simulation. The test time of each one AC parameter is about 200ms using 2Y-March 14n algorithm.

  • PDF

Parallel I/O DRAM BIST for Easy Redundancy Cell Programming (Redundancy Cell Programming이 용이한 병렬 I/O DRAM BIST)

  • 유재희;하창우
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.39 no.12
    • /
    • pp.1022-1032
    • /
    • 2002
  • A multibit DRAM BIST methodology reducing redundancy programming overhead has been proposed. It is capable of counting and locating faulty bits simultaneously with the test. If DRAM cells are composed of n blocks generally, the proposed BIST can detect the state of no error, the location of faulty bit block if there is one error and the existence of errors in more than two blocks, which are n + 2 states totally, with only n comparators and an 3 state encoder. Based on the proposed BIST methodology, the testing scheme which can detect the number and locations of faulty bits with the errors in two or more blocks, can be easily implemented. Based on performance evaluation, the test and redundancy programming time of 64MEG DRAM with 8 blocks is reduced by 1/750 times with 0.115% circuit overhead.

ARM Professor-based programmable BIST for Embedded Memory in SoC (SoC 내장 메모리를 위한 ARM 프로세서 기반의 프로그래머블 BIST)

  • Lee, Min-Ho;Hong, Won-Gi;Song, Jwa-Hee;Chang, Hoon
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.35 no.6
    • /
    • pp.284-292
    • /
    • 2008
  • The density of Memory has been increased by great challenge for memory technology; therefore, elements of memory become more smaller than before and the sensitivity to faults increases. As a result of these changes, memory testing becomes more complex. In addition, as the number of storage elements per chip increases, the test cost becomes more remarkable as the cost per transistor drops. Recent development in system-on-chip(SoC) technology makes it possible to incorporate large embedded memories into a chip. However, it also complicates the test process, since usually the embedded memories cannot be controlled from the external environment. We present a ARM processor-programmable built-in self-test(BIST) scheme suitable for embedded memory testing in the SoC environment. The proposed BIST circuit can be programmed vis an on-chip microprocessor.

Pattern Mapping Method for Low Power BIST (저전력 BIST를 위한 패턴 사상(寫像) 기법에 관한 연구)

  • Kim, You-Bean;Jang, Jae-Won;Son, Hyun-Uk;Kang, Sung-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.46 no.5
    • /
    • pp.15-24
    • /
    • 2009
  • This paper proposes an effective low power BIST architecture using the pattern mapping method for 100% fault coverage and the transition freezing method for making high correlative low power patterns. When frozen patterns are applied to a circuit, it begins to find a great number of faults at first. However, patterns have limitations of achieving 100% fault coverage due to random pattern resistant faults. In this paper, those faults are covered by the pattern mapping method using the patterns generated by an ATPG and the useless patterns among frozen patterns. Throughout the scheme, we have reduced an amount of applied patterns and test time compared with the transition freezing method, which leads to low power dissipation.

A Flexible Programmable Memory BIST for Embedded Single-Port Memory and Dual-Port Memory

  • Park, Youngkyu;Kim, Hong-Sik;Choi, Inhyuk;Kang, Sungho
    • ETRI Journal
    • /
    • v.35 no.5
    • /
    • pp.808-818
    • /
    • 2013
  • Programmable memory built-in self-test (PMBIST) is an attractive approach for testing embedded memory. However, the main difficulties of the previous works are the large area overhead and low flexibility. To overcome these problems, a new flexible PMBIST (FPMBIST) architecture that can test both single-port memory and dual-port memory using various test algorithms is proposed. In the FPMBIST, a new instruction set is developed to minimize the FPMBIST area overhead and to maximize the flexibility. In addition, FPMBIST includes a diagnostic scheme that can improve the yield by supporting three types of diagnostic methods for repair and diagnosis. The experiment results show that the proposed FPMBIST has small area overhead despite the fact that it supports various test algorithms, thus having high flexibility.

Programmable Memory BIST and BISR Using Flash Memory for Embedded Memory (내장 메모리를 위한 프로그램 가능한 자체 테스트와 플래시 메모리를 이용한 자가 복구 기술)

  • Hong, Won-Gi;Choi, Jung-Dai;Shim, Eun-Sung;Chang, Hoon
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.2
    • /
    • pp.69-81
    • /
    • 2008
  • The density of Memory has been increased by great challenge for memory technology, so elements of memory become smaller than before and the sensitivity to faults increases. As a result of these changes, memory testing becomes more complex. The number of storage elements is increased per chip, and the cost of test becomes more remarkable as the cost per transistor drops. Proposed design doesn't need to control from outside environment, because it integrates into memory. The proposed scheme supports the various memory testing algorithms. Consequently, the proposed one is more efficient in terms of test cost and test data to be applied. Moreover, we proposed a reallocation algorithm for faulty memory parts. It has an efficient reallocation scheme with row and column redundant memory. Previous reallocation information is obtained from faulty memory every each tests. However proposed scheme avoids to this problem. because onetime test result from reallocation information can save to flash memory. In this paper, a reallocation scheme has been increased efficiency because of using flash memory.

Reducing Test Power and Improving Test Effectiveness for Logic BIST

  • Wang, Weizheng;Cai, Shuo;Xiang, Lingyun
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.5
    • /
    • pp.640-648
    • /
    • 2014
  • Excessive power dissipation is one of the major issues in the testing of VLSI systems. Many techniques are proposed for scan test, but there are not so many for logic BIST because of its unmanageable randomness. This paper presents a novel low switching activity BIST scheme that reduces toggle frequency in the majority of scan chain inputs while allowing a small portion of scan chains to receive pseudorandom test data. Reducing toggle frequency in the scan chain inputs can reduce test power but may result in fault coverage loss. Allowing a small portion of scan chains to receive pseudorandom test data can make better uniform distribution of 0 and 1 and improve test effectiveness significantly. When compared with existing methods, experimental results on larger benchmark circuits of ISCAS'89 show that the proposed strategy can not only reduce significantly switching activity in circuits under test but also achieve high fault coverage.

A study on the key Issues for implementing the IEC61850 based Gateway (IEC61850 기반의 Gateway 개발을 위한 이슈에 관한 연구)

  • Oh, Moo-Nam;Lee, Suk-Bea;Woo, Chun-Hee;Kim, Jung-Soo
    • Proceedings of the KIEE Conference
    • /
    • 2009.07a
    • /
    • pp.91_92
    • /
    • 2009
  • As the increasing integrity of VLSI, the BIST(Built-In Self Test) is used as an effective method to test chips. Generally the pseudo-random test pattern generation is used for BIST. But it requires too many test patterns when there exist random resistant faults. Therefore we propose a mixed test scheme which applies to the circuit under test, a deterministic test sequence followed by a pseudo-random one. This scheme allows the maximum fault coverage detection to be achieved, furthermore the silicon area overhead of the mixed hardware generator can be reduced.

  • PDF

A Sturdy on the Sleep Twist Round type Stacked Wind Power System for Appling Environment-Friendly Building and High Rise Housing (대형 건축물과 주거 친화형 저 풍속 연곡형 적층 풍력발전 시스템에 관한 연구)

  • Jung, Ja-Choon;Jang, Mi-Hye
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.60 no.4
    • /
    • pp.796-800
    • /
    • 2011
  • As the increasing integrity of VLSI, the BIST(Built-In Self Test) is used as an effective method to test chips. Generally the pseudo-random test pattern generation is used for BIST. But it requires too many test patterns when there exist random resistant faults. Therefore we propose a mixed test scheme which applies to the circuit under test, a deterministic test sequence followed by a pseudo-random one. This scheme allows the maximum fault coverage detection to be achieved, furthermore the silicon area overhead of the mixed hardware generator can be reduced.

New On-Chip RF BIST(Built-In Self Test) Scheme and Circuit Design for Defect Detection of RF Front End (RF Front End의 결함 검출을 위한 새로운 온 칩 RF BIST 구조 및 회로 설계)

  • 류지열;노석호
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.8 no.2
    • /
    • pp.449-455
    • /
    • 2004
  • This paper presents a novel defect detection method for one chip RF front end with fault detection circuits using input matching measurement. We present a BIST circuit using 40.25{\mu}m$ CMOS technology. We monitor the input transient voltage of the RF front end to differentiate faulty and fault-free RF front end. Catastrophic as well as parametric variation fault models are used to simulate the faulty response of the RF front end. This technique has several advantages with respect to the standard approach based on current test stimulus and frequency domain measurement. Because DUT and fault detection circuits are implemented in the same chip, this test technique only requires use of digital voltmeter (RMS meter) and RF voltage source generator for simpleand inexpensive testing.