• Title/Summary/Keyword: BIST Scheme

Search Result 29, Processing Time 0.02 seconds

A New Fault-Based Built-In Self-Test Scheme for 1.8GHz RF Front-End (1.8GHz 고주파 전단부의 결함 검사를 위한 새로운 BIST 회로)

  • Ryu Jee-Youl;Noh Seok-Ho
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.42 no.6 s.336
    • /
    • pp.1-8
    • /
    • 2005
  • This paper presents a new low-cost fault-based Built-In Self-Test (BIST) scheme and technique for 1.8GHz RF receiver front end. The technique utilizes input impedance matching measurement. The BIST block and RF receiver front end are designed using 0.25m CMOS technology on a single chip. The technique is simple and inexpensive. The overhead of the BIST circuit is approximately $10\%$ of the total area of the RF front end.

BIST Design for Hazard controller in Pipeline System (Pipeline 시스템의 Hazard 검출기를 위한 BIST 설계)

  • 이한권;이현룡;장종권
    • Proceedings of the IEEK Conference
    • /
    • 2003.11b
    • /
    • pp.27-30
    • /
    • 2003
  • The recent technology developments introduce new difficulties into the test process by the increased complexity of the chip. Most widely used method for testing high complexity and embedded systems is built-in self-test(BIST). In this paper, we describe 5-stage pipeline system as circuit under testing(CUT) and proposed a BIST scheme for the hazard detection unit of the pipeline system. The proposed BIST scheme can generate sequential instruction sets by pseudo-random pattern generator that can detect all hazard issues and compare the expected hazard signals with those of the pipelined system. Although BIST schemes require additional area in the system, it proves to provide a low-cost test solution and significantly reduce the test time.

  • PDF

A Newly Developed Mixed-Mode BIST (효율적인 혼합 BIST 방법)

  • 김현돈;신용승;김용준;강성호
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.8
    • /
    • pp.610-618
    • /
    • 2003
  • Recently, many deterministic built-in self-test schemes to reduce test time have been researched. These schemes can achieve a good quality test by shortening the whole test process, but require complex algorithms or much hardware. In this paper, a new deterministic BIST scheme is provided that reduces the additional hardware requirements, as well as keeping test time to a minimum. The proposed BIST (Built-In Self-Test) methodology brings about the reduction of the hardware requirements for pseudo-random tests as well. Theoretical study demonstrates the possibility of reducing the hardware requirements for both pseudo-random and deterministic tests, with some explanations and examples. Experimental results show that in the proposed test scheme the hardware requirements for the pseudo-random test and deterministic test are less than in previous research.

Reduction of Hardware Overhead for Test Pattern Generation in BIST (내장형 자체 테스트 패턴 생성을 위한 하드웨어 오버헤드 축소)

  • 김현돈;신용승;김용준;강성호
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.7
    • /
    • pp.526-531
    • /
    • 2003
  • Recently, many BIST(Built-in Self Test) schemes have been researched to reduce test time and hardware. But, most BIST schemes about pattern generation are for deterministic pattern generation. In this paper a new pseudo-random BIST scheme is provided to reduce the existing test hardware and keep a reasonable length of test time. Theoretical study demonstrates the possibility of the reduction of the hardware for pseudo-random test with some explanations and examples. Also the experimental results show that in the proposed test scheme the hardware for the pseudo-random test is much less than in the previous scheme and provide comparison of test time between the proposed scheme and the current one.

Test Time Reduction for BIST by Parallel Divide-and-Conquer Method (분할 및 병렬 처리 방법에 의한 BIST의 테스트 시간 감소)

  • Choe, Byeong-Gu;Kim, Dong-Uk
    • The Transactions of the Korean Institute of Electrical Engineers D
    • /
    • v.49 no.6
    • /
    • pp.322-329
    • /
    • 2000
  • BIST(Built-in Self Test) has been considered as the most promising DFT(design-for-test) scheme for the present and future test strategy. The most serious problem in applying BIST(Built-in Self Test) into a large circuit is the excessive increase in test time. This paper is focused on this problem. We proposed a new BIST construction scheme which uses a parallel divide-and-conquer method. The circuit division is performed with respect to some internal nodes called test points. The test points are selected by considering the nodal connectivity of the circuit rather than the testability of each node. The test patterns are generated by only one linear feedback shift register(LFSR) and they are shared by all the divided circuits. Thus, the test for each divided circuit is performed in parallel. Test responses are collected from the test point as well as the primary outputs. Even though the divide-and-conquer scheme is used and test patterns are generated in one LFSR, the proposed scheme does not lose its pseudo-exhaustive property. We proposed a selection procedure to find the test points and it was implemented with C/C++ language. Several example circuits were applied to this procedure and the results showed that test time was reduced upto 1/2151 but the increase in the hardware overhead or the delay increase was not much high. Because the proposed scheme showed a tendency that the increasing rates in hardware overhead and delay overhead were less than that in test time reduction as the size of circuit increases, it is expected to be used efficiently for large circuits as VLSI and ULSI.

  • PDF

Design of BIST Circuits for Test Algorithms Using VHDL (VHDL을 이용한 테스트 알고리즘의 BIST 회로 설계)

  • 배성환;신상근;김대익;이창기;전병실
    • The Journal of the Acoustical Society of Korea
    • /
    • v.18 no.1
    • /
    • pp.67-71
    • /
    • 1999
  • In this paper, we design circuits embedded in memory chip which perform memory testing algorithms using BIST scheme to reduce testing time and cost for testing. In order to implement circuits for MSCAN, Marching and checkerboard test algorithms, which have widely used in memory testing, we survey structure of the BIST circuits and describe each block of BIST circuits by using VHDL. Thereafter, We verify behavior of each VHDL coding block and extract BIST circuits for target testing algorithms by CAD tool for simulation and synthesis. Extracted circuits have very low area overhead.

  • PDF

FSM-based Programmable Built-ln Self Test for Flash Memory (플래시 메모리를 위한 유한 상태 머신 기반의 프로그래머블 자체 테스트)

  • Kim, Ji-Hwan;Chang, Hoon
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.44 no.6 s.360
    • /
    • pp.34-41
    • /
    • 2007
  • We popose a programmed on-line to FSM-based Programmable BIST(Buit-In Self-Test) with selected command, to select a test algorithm from a predetermined set of algorithms that are built in the Flash memory BIST. Thus, the proposed scheme greatly simplifies the testing process. Besides, the proposed FSM-based Programmable BIST is more efficient in terms of circuit size and test data to be applied, and it requires less time to configure the Flash memory BIST. We also will develop a programmable Flash memory BIST generator that automatically produces Verilog code of the proposed BIST architecture for a given set of test algorithms. If experiment the proposed method, the proposed method will achieves a good flexibility with smaller circuit size compared with previous methods.

Time-division Multiplexing Scheme for Analog Response Analysis (시분할 멀티플렉싱 기법을 이용한 아날로그 회로응답 분석)

  • 노정진
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.40 no.2
    • /
    • pp.126-136
    • /
    • 2003
  • We propose a new technique to improve the parametric fault coverage of oscillation test method (OTM). The OTM has been popular as a vectorless scheme for analog circuit test, both as a general defect-oriented technique, as well as an oscillation built-in self- test (BIST) scheme. However, it still requires improvement in several aspects. This paper analyzes the limitation of OTM, and proposes new signature analysis scheme to improve its performance.

PSF detection algorithm and BIST design in memory (메모리에서 PSF 검출을 위한 알고리즘 및 BIST 설계)

  • 이중호;조상복
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.30A no.1
    • /
    • pp.64-72
    • /
    • 1993
  • We propose "algorithm MA" which can detect PSF that is the functional fault in RAM. This algorithm based on the restricted PSF(or neighborhood PSF) and can detect not only conventional stuck-at and transition faults but also SNPSF, PNPSF and partially ANPSF. The time complexity of "algorithm MA" has 1536xP(P=no. of partition). We propose total BIST(built-in self test) scheme which implement this algorithm in memory chip.ithm in memory chip.

  • PDF

A New Low Power Scan BIST Architecture Based on Scan Input Transformation Scheme (스캔입력 변형기법을 통한 새로운 저전력 스캔 BIST 구조)

  • Son, Hyeon-Uk;Kim, You-Bean;Kang, Sung-Ho
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.45 no.6
    • /
    • pp.43-48
    • /
    • 2008
  • Power consumption during test can be much higher than that during normal operation since test vectors are determined independently. In order to reduce the power consumption during test process, a new BIST(Built-In Self Test) architecture is proposed. In the proposed architecture, test vectors generated by an LFSR(Linear Feedback Shift Resister) are transformed into the new patterns with low transitions using Bit Generator and Bit Dropper. Experiments performed on ISCAS'89 benchmark circuits show that transition reduction during scan testing can be achieved by 62% without loss of fault coverage. Therefore the new architecture is a viable solution for reducing both peak and average power consumption.