• 제목/요약/키워드: BIST(Built-In Self Test)

검색결과 81건 처리시간 0.023초

저전력 BIST를 위한 패턴 사상(寫像) 기법에 관한 연구 (Pattern Mapping Method for Low Power BIST)

  • 김유빈;장재원;손현욱;강성호
    • 대한전자공학회논문지SD
    • /
    • 제46권5호
    • /
    • pp.15-24
    • /
    • 2009
  • 본 논문은 유사랜덤 방식의 BIST를 기반으로 하여 스캔 shifting시의 transition을 획기적으로 줄여 주었던 transition freezing 기법과 새롭게 제안하는 고장검출율 100%를 위한 pattern mapping 기법을 결합한 효과적인 저전력 BIST구조에 대해 제안한다. Transition freezing 기법으로 생성된 고연관의 저전력 패턴은 패턴 인가 초기에는 많은 수의 고장을 검출해 내지만, 패턴의 수가 점점 늘어날수록 랜덤 저항 고장의 증가로 인해 추가적인 고장 검출에는 한계가 있었다. 이러한 비검출 고장에 대해 ATPG를 통한 테스트 패턴을 생성하여, 고장을 검출하지 못하는 frozen pattern과 mapping을 함으로써 기 생성된 패턴을 재활용하여 인가되는 패턴의 수와 테스트 시간을 줄임으로써 전력 소모량을 줄일 수 있었다.

리플렉티브 메모리 시스템을 이용한 효과적인 네트워크 설계 (Effective Network Design Using Reflective Memory System)

  • 이성우
    • 대한전기학회논문지:시스템및제어부문D
    • /
    • 제54권6호
    • /
    • pp.403-408
    • /
    • 2005
  • As the increasing integrity of VLSI, the BIST(Built-In Self Test) is used as an effective method to test chips. Generally the pseudo-random test pattern generation is used for BIST. But it requires too many test patterns when there exist random This paper proposes and presents a new efficient network architecture for Reflective Memory System (RMS). A time to copy shared-data among nodes effects critically on the entire performance of the RMS. In this paper, the recent researches about the RMS are investigated and compared. The device named Topology Conversion Switch(TCS) is introduced to realize the proposed network architecture. One of the RMS based industrial control networks, Ethernet based Real-time Control Network (ERCnet), is adopted to evaluate the performance of the proposed network architecture for RMS.

자체 스캔 체인을 이용한 Built-In Self-Test 구조에 관한 연구 (A Built-In Self-Test Architecture using Self-Scan Chains)

  • 한진욱;민형복
    • 대한전자공학회논문지SD
    • /
    • 제39권3호
    • /
    • pp.85-97
    • /
    • 2002
  • STUMPS는 스캔 구조를 이용한 자체 테스트로 널리 사용되는 기술이다. 다중 스캔 체인에 STUMPS를 적용할 때 병렬 패턴 생성기로 사용되는 LFSR은 인접한 비트 시퀀스 사이에 높은 correlation이 존재하므로 회로의 고장 검출률을 저하시킨다. 이러한 문제를 해결하기 위해서 하드웨어 오버헤드 증가에도 불구하고 LFSR과 스캔 체인의 입력 사이에 부가적인 조합회로가 놓인다. 본 논문은 다중 스캔 체인을 갖는 순차회로에 대해 회로 자체의 스캔 체인들을 사용하여 유사 무작위 테스트 패턴을 생성하는 효과적인 테스트 패턴생성 방법과 그 구조를 소개한다. 제안된 테스트 패턴 생성 기술은 기존에 패턴 생성기로 사용되는 LFSR과 조합회로의 구성을 사용하지 않으므로 하드웨어 오버헤드를 줄일 수 있으며 충분히 높은 고장 검출률을 얻을 수 있다. 또한 스캔 체인 당 단지 수 개의 XOR 게이트만이 회로 변형을 위해 필요하므로 설계가 매우 간단하다.

CMOS 구조를 이용한 DAC의 자체 테스트 기법에 관한 연구 (Built-In Self-Test of DAC using CMOS Structure)

  • 조성찬;김인수;민형복
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2007년도 제38회 하계학술대회
    • /
    • pp.1862-1863
    • /
    • 2007
  • Testing the analog/mixed-signal circuitry of a mixed-signal IC has become a difficult task. Offset error, gain error, Non-monotonic behavior, Differential Non-linearity(DNL) error, Integral Non-linearity(INL) error are important specifications used as test parameters for DAC. In this paper, we propose an efficient BIST structure for DAC testing. The proposed BIST adds the circuit which uses the capacitor and op-amp, and accomplishes a test.

  • PDF

Virtual ground monitoring for high fault coverage of linear analog circuits

  • Roh, Jeongjin
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제2권3호
    • /
    • pp.226-232
    • /
    • 2002
  • This paper explains a technique to improve the fault coverage of oscillation-test [1-5] for linear analog circuits. The transient behavior of the virtual ground is monitored during oscillation to extract information of the circuit. The limitation of the oscillation-test is analyzed, and an efficient signature analysis technique is proposed to maximize the fault coverage. The experimental result proves that the parametric fault coverage can be significantly increased by the proposed technique.

Built-In 테스트 방식을 이용한 RAM(Random Access Memory)의 고장 검출 (Fault Detection of Semiconductor Random Access Memories Using Built-In Testing Techniques)

  • 김윤홍;임인칠
    • 대한전자공학회논문지
    • /
    • 제27권5호
    • /
    • pp.699-708
    • /
    • 1990
  • This paper proposes two test procedures for detecting functional faults in semiconductor random access memories (RAM's) and a new testimg scheme to execute the proposed test procedures. The first test procedure detects stuck-at faults, coupling faults and decoder faults, and requires 19N operations, which is an improvement over conventional procedures. The second detects restricted patternsensitive faults and requires 69N operations. The proposed scheme uses Built-In Self Testing (BIST) techniques. The scheme can write into more memory cells than I/O pins can in a write cycle in test mode. By using the scheme, the number of write operations is reduced and then much testing time is saved.

  • PDF

IEEE 1149.1을 이용한 내장된 자체 테스트 기법의 구현 (Implementation of Built-In Self Test Using IEEE 1149.1)

  • 박재흥;장훈;송오영
    • 한국통신학회논문지
    • /
    • 제25권12A호
    • /
    • pp.1912-1923
    • /
    • 2000
  • 본 논문에서는 내장된 자체 테스트(BIST: Built-In Self Test) 기법의 구현에 관해 기술한다. 내장된 자체 테스트 기법이 적용된 칩은 영상 처리 및 3차원 그래픽스용 부동 소수점 DSP 코어인 FLOVA이다. 내장된 로직 자체 테스트 기법은 FLOVA의 부동 소수점 연산 데이터 패스에 적용하였으며, 내장된 메모리 자체 테스트 기법은 FLOVA에 내장된 데이터 메모리와 프로그램 메모리에 적용하였다. 그리고, 기판 수준의 테스팅을 지원하기 위한 표준안인 경계 주사 기법(IEEE 1149.1)을 구현하였다. 특히, 내장된 자체 테스트 로직을 제어할 수 있도록 경계주사 기법을 확장하여 적용하였다.

  • PDF

수정된 의사 무작위 패턴을 이용한 효율적인 로직 내장 자체 테스트에 관한 연구 (A Study on Logic Built-In Self-Test Using Modified Pseudo-random Patterns)

  • 이정민;장훈
    • 대한전자공학회논문지SD
    • /
    • 제43권8호
    • /
    • pp.27-34
    • /
    • 2006
  • 내장 자체 테스트 과정에서 의사 무작위 패턴 생성기에 의해 만들어진 패턴들은 효율적인 고장 검출을 제공하지 못한다. 쓸모없는 패턴들은 테스트 시간을 줄이기 위해 제거하거나 수정을 통해 유용한 패턴으로 바꾸어야한다. 본 논문에서는 LFSR에서 생성하는 의사 무작위 패턴을 수정하고 추가적인 유효 비트 플래그를 사용하여 테스트 길이를 개선하고 높은 고장 검출률을 높이는 방법을 제안하고 있다. 또한 쓸모없는 패턴을 제거하거나 유용한 패턴으로 변경하기 위해 reseeding 방법과 수정 비트 플래그 모두 사용한다. 패턴을 수정할 때는 테스트 길이를 줄일 수 있도록 비트의 변화가 가장 적은 수를 선택한다. 본 논문에서는 단일 고착 고장만을 고려하였으며 결정 패턴을 사용하는 seed를 통해 100%의 고장 검출률을 얻을 수 있다.

1.5Gbps 직렬 에이티에이 전송 칩 구현 (Implementation of 1.5Gbps Serial ATA)

  • 박상봉;허정화;신영호;홍성혁;박노경
    • 대한전자공학회논문지SD
    • /
    • 제41권7호
    • /
    • pp.63-70
    • /
    • 2004
  • 본 논문에서는 PC 의 스토리지 인터페이스로 사용되는 병렬 ATA를 대체하게 될 새로운 표준인 직렬 ATA 의 링크 층과 물리 층을 설계하였다. 링크층에서는 CRC 생성 및 오류 감지, 스크램블링 회로, 8b/10b 복호화/부호화 회로 및 프리미티브 생성/검파 회로로 구성되었다. 물리 층은 직렬화/병렬화 회로와 전원 초기 인가시의 리셋 발생회로, OOB 신호 발생/검파 회로, 데이터로부터 클록을 복원하는 회로, 스?치 회로 및 임피이던스 조정 회로와 콤마 발생/감지 회로로 설계하였나. 또한 불리 층과 링크층의 동작을 확인하기 위한 테스트 제어 블록과 BIST(Built In Self Test) 블록을 설계하였다. UMC 사의 0.18㎛ 표준 CMOS 공정을 이용하여, 칩으로 제작 후 특성을 검증하였다. 링크 층에서 요구하는 모든 기능 및 특성은 사양을 만족하였고, 물리 층의 출력 전압 및 드라이버 출력 지터, OOB 신호등의 특성도 만족하였다. 데이터 전송 율은 1.5Gbps 속도의 사양목표치에 비해서, 실제 측정된 데이터 전송 속도는 1.28Gbps로 측정되었다. 회로 시뮬레이션에 의한 확인 결과, 레이아웃에서의 배선에 대한 기생 성분의 영향에 의한 것으로 분석되었다.

부동 소수점 DSP 프로세서의 테스트 용이 설계 (Design-for-Testability of The Floating-Point DSP Processor)

  • 윤대한;송오영;장훈
    • 한국통신학회논문지
    • /
    • 제26권5B호
    • /
    • pp.685-691
    • /
    • 2001
  • 본 논문은 4단계 파이프 라인과 VLIW (Very Long Instruction Word) 구조를 갖는 FLOVA라는 DSP 프로세서의 테스트용이 설계 기법을 다룬다. Full-scan design, BIST(Built-In-Self-Test), IEEE 1149.1의 기법들이 플립플롭과 floaing point unit, 내장된 메모리, I/O cell 등에 각각 적용되었다. 이러한 기법들은 테스트 용이도의 관점에서 FLOVA의 구조에 적절하게 적용되었다. 본 논문에서는 이와 같이 FLOVA에 적용된 테스트 용이 설계의 특징들을 중심으로 상세하게 기술한다.

  • PDF