• Title/Summary/Keyword: Amorphous Silicon

Search Result 793, Processing Time 0.03 seconds

The Effect of Solvent and Carrier Gas on the Deposition Rate aid the Properties of Pyrosol Deposited $SnO_2$ : F Transparent Conducting Films (용매와 반송가스가 초음파 분무 열분해에 의한 불소 도핑 이산화 주석 투명전도막의 성장속도와 특성에 미치는 영향)

  • Yoon, Kyung-Hoon;Song, Jin-Soo;Kang, Gi-Hwan
    • Proceedings of the KIEE Conference
    • /
    • 1991.07a
    • /
    • pp.174-177
    • /
    • 1991
  • Fluorine-doped $SnO_2\;(SnO_2:F)$ films were prepared in ordinary atmosphere on borosilicate glass substrates using pyrosol deposition method starting from the solutions composed of $SnCl_4-5H_2O-NH_4F-CH_3OH-H_2O-HCl$ in an attempt to develop transparent conductors for use in amorphous silicon (a-Si) solar cello. The deposition rate of films increased with the increase in the content of $H_2O$, whereas it decreased with increasing the content of $CH_3OH$. When air was used as the carrier gas, the lowest electrical resistivity was obtained from a solution having $CH_3OH/H_2O$ mol ratio of about $2{\sim}3$ in the solution. The use of $N_2$ of the same flow rate as the carrier gab resulted always in the high resistive films, but the resistivity of the films decreased continuously with the increase in the content of $H_2O$. The surface morphology and preferred orientation of films were also affected by the solvent composition and the content of HCl in the solution. The room-temperature resistance of the films were fairly stable after heat-treatments up to $600^{\circ}C$.

  • PDF

Investigation of Effective Contact Resistance of ZTO-Based Thin Film Transistors

  • Gang, Yu-Jin;Han, Dong-Seok;Park, Jae-Hyeong;Mun, Dae-Yong;Sin, So-Ra;Park, Jong-Wan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.543-543
    • /
    • 2013
  • Thin-film transistors (TFTs) based on oxide semiconductors have been regarded as promising alternatives for conventional amorphous and polycrystalline silicon TFTs. Oxide TFTs have several advantages, such as low temperature processing, transparency and high field-effect mobility. Lots of oxide semiconductors for example ZnO, SnO2, In2O3, InZnO, ZnSnO, and InGaZnO etc. have been researched. Particularly, zinc-tin oxide (ZTO) is suitable for channel layer of oxide TFTs having a high mobility that Sn in ZTO can improve the carrier transport by overlapping orbital. However, some issues related to the ZTO TFT electrical performance still remain to be resolved, such as obtaining good electrical contact between source/drain (S/D) electrodes and active channel layer. In this study, the bottom-gate type ZTO TFTs with staggered structure were prepared. Thin films of ZTO (40 nm thick) were deposited by DC magnetron sputtering and performed at room temperature in an Ar atmosphere with an oxygen partial pressure of 10%. After annealing the thin films of ZTO at $400^{\circ}C$ or an hour, Cu, Mo, ITO and Ti electrodes were used for the S/D electrodes. Cu, Mo, ITO and Ti (200 nm thick) were also deposited by DC magnetron sputtering at room temperature. The channel layer and S/D electrodes were defined using a lift-off process which resulted in a fixed width W of 100 ${\mu}m$ and channel length L varied from 10 to 50 ${\mu}m$. The TFT source/drain series resistance, the intrinsic mobility (${\mu}i$), and intrinsic threshold voltage (Vi) were extracted by transmission line method (TLM) using a series of TFTs with different channel lengths. And the performances of ZTO TFTs were measured by using HP 4145B semiconductor analyzer. The results showed that the Cu S/D electrodes had a high intrinsic field effect mobility and a low effective contact resistance compared to other electrodes such as Mo, ITO and Ti.

  • PDF

Dispersion Behaviors of Y2O3 Particles Into Aisi 316L Stainless Steel by Using Laser Cladding Technology (레이저 클래딩법을 이용한 AISI 316L 스테인리스강 내 Y2O3입자의 분산거동)

  • Park, Eun-Kwang;Hong, Sung-Mo;Park, Jin-Ju;Lee, Min-Ku;Rhee, Chang-Kyu;Seol, Kyeong-Won;Lee, Yang-Kyu
    • Journal of Powder Materials
    • /
    • v.20 no.4
    • /
    • pp.269-274
    • /
    • 2013
  • The present work investigated the dispersion behavior of $Y_2O_3$ particles into AISI 316L SS manufactured using laser cladding technology. The starting particles were produced by high energy ball milling in 10 min for prealloying, which has a trapping effect and homogeneous dispersion of $Y_2O_3$ particles, followed by laser cladding using $CO_2$ laser source. The phase and crystal structures of the cladded alloys were examined by XRD, and the cross section was characterized using SEM. The detailed microstructure was also studied through FE-TEM. The results clearly indicated that as the amount of $Y_2O_3$ increased, micro-sized defects consisted of coarse $Y_2O_3$ were increased. It was also revealed that homogeneously distributed spherical precipitates were amorphous silicon oxides containing yttrium. This study represents much to a new technology for the manufacture and maintenance of ODS alloys.

Elastic Properties Evaluation of Thin Films on Flexible Substrates with Consideration of Contact Morphology in Nanoindentation (나노압입시험에서의 접촉형상 보정을 통한 유연소자 박막의 탄성특성 평가)

  • Kim, Won Jun;Hwang, Gyeong-Seok;Kim, Ju-Young;Kim, Young-Cheon
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.27 no.3
    • /
    • pp.83-88
    • /
    • 2020
  • The evolution of smartphones has led to numerous researches in the mechanical behavior of flexible devices. Due to the nano-size of the thin flexible film, nanoindentation is widely used to evaluate its mechanical behaviors, such as elastic modulus, and hardness. However, the commonly used Oliver-Pharr method is not suited for analyzing the indentation force-depth curves of hard films on soft substrates, as the effects of soft substrate is not considered theoretically. In this study, the elastic modulus of the thin film was evaluated with references to other reported models which include the substrate effect, and with calibration of the indentation depth for the pile-ups between the indenter and test surface. We fabricated test samples by deposition of amorphous metal film on polyimide and silicon wafers for verification of modified models.

AFORS HET Simulation for Optimization of High Efficiency HIT Solar Cell (고효율 HIT Solar Cell 제작을 위한 AFORS HET 시뮬레이션 실험)

  • Cho, Soo-Hyun;Heo, Jong-Kyu;Yi, Jun-Sin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.450-451
    • /
    • 2008
  • Amorphous silicon Solar cell has n-i-p structure in general, and each layer's thickness and doping concentration are very important factors which are as influential on efficiency of salar cell. Using AFORS HET simulation to get the high efficiency, by adjusting n layer's thickness and doping concentration, p layer's doping concentration. The optimized values are a-Si:H(n)'s thickness of 1nm, a-Si:H(n)r's doping concentration of $2\times10^{20}cm^{-3}$, a-Si:H(p+)r's doping concentration of $1\times10^{19}cm^{-3}$. After optimization, the solar cell shows $V_{oc}$=679.5mV, $J_{sc}$=39.02mA/$cm^2$, FF=83.71%, and a high Efficiency=22.21%. Though this study, we can use this study for planning or manufacturing solar cell which has high efficiency.

  • PDF

Fabrication and Characteristics of Photoconductive Amorphous Silicon Film for Facsimile (팩시밀리용 비정질 실리콘 광도전막의 제작 및 특성)

  • Kim, Jeong-Seob;Oh, Sang-Kwang;Kim, Ki-Wan;Lee, Wu-Il
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.26 no.6
    • /
    • pp.48-56
    • /
    • 1989
  • Contact-type linear image sensors for facsimile have been fabricated by means of rf glow discharge decomposition method of silane. The dependence of their electrical and optical properties on rf power, $SiH_4$ flow rate, ambient gas pressure, $H_2SiH_4$ ratio and substrate temperature are described. The a-Si:H monolayer demonstriated photosensitivity of 0.85 and $I_{ph}/I_d$ ratio of 100 unger 100 lux illumination. However, this monolayer has relatively high dark current due to carrier injection from both electrodes, resulting in low $I_{ph}/I_{dd}$ ratio. To suppress the dark current we have fabricated $SiO_2/i-a-Si:H/p-a-Si:H:B$ multilayer film with blocking structure. The photocurrent of this multilayer sensor with 6 V bias became saturated ar about 20nA under 10 lux illumination, while the dark current was less than 0.2 nA. Moreover, the spectral sensitivity of the multilayer film was enhanced for short wavelength visible region, compared with that of the a-Si:H monolayer. These results show that the fabricated photocon-ductive film can be used as the linear image sensor of the facsimile.

  • PDF

Excimer Laser Annealing Effects of Double Structured Poly-Si Active Layer (이중 활성층(a-Si/a-SiNx)의 XeCl 엑시머 레이저 어닐링 효과)

  • 최홍석;박철민;전재홍;유준석;한민구
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.35D no.6
    • /
    • pp.46-53
    • /
    • 1998
  • A new method to form the double structured active layers of a-Si/a-SiN$_{x}$ of polycrystalline thin film transistor is proposed and poly-Si TFTs employed double structure active film are fabricated. Nitrogen ions were added to bottom amorphous silicon active film(a-SiN$_{x}$ ) and pure a-Si film deposition on a-SiN$_{x}$ was followed. The XeCl excimer laser was irradiated to crystallize double structure active film. The grain growth of upper a-Si film was also promoted in the double structured active layers of a-Si/a-SiN$_{x}$ due to the mitigation of solidification process of lower a-SiN$_{x}$ layer. Our experimental results show that the ratio of NH$_3$/SiH$_4$ is required to maintain below 0.11 for the reduction of contact resistance of n$^{+}$ poly-SiN$_{x}$ layer.r.

  • PDF

Fabrication and Characteristics of a-SiNx:H Thin Films (a-SiNx:H 박막의 제조 및 특성)

  • Park, Wug-Dong;Kim, Young-Jin;Kim, Ki-Wan
    • Journal of Sensor Science and Technology
    • /
    • v.4 no.2
    • /
    • pp.58-63
    • /
    • 1995
  • The effects of substrate temperature, RF power, and $NH_{3}/SiH_{4}$ gas flow ratio on the dielectric constant and optical bandgap of amorphous silicon nitride (a-SiNx:H) thin films prepared by PECVD method using RF glow discharge decomposition of $SiH_{4}$ and $NH_{3}$ gas mixtures have been studied. The dielectric constant and optical bandgap of a-SiNx:H thin films were greatly exchanged as by increasing substrate temperature, RF power, and $NH_{3}/SiH_{4}$ gas flow ratio. The dielectric constant of a-SiNx:H films was increased and optical bandgap of a-SiNx:H films was decreased as the substrate temperature was increased. When the substrate temperature, RF power, gas pressure, $NH_{3}/SiH_{4}$ gas flow ratio, and thickness were $250^{\circ}C$, 20 W, 500 mTorr, 10 and $1500\;{\AA}$, respectively, the dielectric constant, breakdown field and optical bandgap of a-SiNx:H film were 4.3, 1 MV/cm, and 2.9 eV, respectively.

  • PDF

A optimum studies of TCO/p-layer for high Efficiency in Amorphous Silicon Solar cell (비정질 실리콘 태양전지 고효율화를 위한 전면투명전도막/p 최적연구)

  • Lee, Ji-Eun;Lee, Jeong-Chul;Oh, Byung-Seng;Song, Jin-Soo;Yoon, Kyung-Hoon
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2007.11a
    • /
    • pp.275-277
    • /
    • 2007
  • 유리를 기판으로 하는 superstrate pin 비정질 태양전지에서 전면투명전도막(TCO)과 p-layer의 계면이 태양전지의 효율을 내는데 가장 큰 기여를 한다. 전면투명전도막(TCO)으로 현재 일반적으로 사용되는 ZnO:Al는 $SnO_2:F$ 보다 전기,광학적으로 우수하고, 안개율(Haze)높으며, 수소 플라즈마에서의 안정성이 높은 특정을 갖고 있다. 그래서 박막 태양전지 특성향상에 매우 유리하나, 태양전지로 제조했을 때, $SnO_2:F$보다 충진율(Fill factor:F.F)과 V_{\infty}$ 가 감소한다는 단점을 가지고 있다. 본 실험실에서는 $SnO_2:F$의 F.F가 72%이 나온 반면 ZnO:Al의 F.F은 68%에 그쳤다. 이들 원인을 분석하기 위해 TCO/p-layer의 전기적 특성을 알아 본 결과, $SnO_2:F$보다 ZnO:Al의 직렬저항이 높게 측정되었다. 이러한 결과를 바탕으로 p-layer 에 R={$H_2/SiH_4$}=25로 변화, p ${\mu$}c$-Si:H/p a-SiC:H 로 p-layer 이중 증착, p-layer의 boron doping 농도를 증가시키는 실험을 하였다. 직렬저항이 가장 낮았던 p ${\mu$}c$-Si:H/p a-SiC:H 로 p-layer 이중 증착에서 Voc는 0.95V F.F는 70% 이상이 나왔다. 이들 각 p층의 $E_a$(Activation Energy)를 구해본 결과, ${\mu$}c$-Si:H의 Ea 가 가장 낮은 것을 관찰 할 수 있었다.

  • PDF

Properties of Diamond-like Carbon(DLC) Thin Films deposited by Negative Ion Beam Sputter (I) (Negative ion beam sputter 법으로 증착한 DLC 박막의 특성 (I))

  • Kim, Dae-Yeon;Gang, Gye-Won;Choe, Byeong-Ho
    • Korean Journal of Materials Research
    • /
    • v.10 no.7
    • /
    • pp.459-463
    • /
    • 2000
  • Direct use of negative ions for modification of materials has opened new research such as charging-free ion implantation and new materials syntheses by pure kinetic bonding reactions. For these purposes, a new solid-state ce-sium ion source has been developed in the laboratory scale. In this paper, diamond like carbon(DLC) films were prepared on silicon wafer by a negative cesium ion gun. This system does not need any gas in the chamber; deposition occurs under high vacuum. The ion source has good control of the C- beam energy(from 80 to 150eV). The result of Raman spectrophotometer shows that the degree of diamond-like character in the films, $sp^3$ fraction, increased as ion beam energy increases. The nanoindentation hardness of the films also increases from 7 to 14 GPa as a function of beam energy. DLC films showed ultra-smooth surface(Ra~1$\AA$)and an impurity-free quality.

  • PDF