• Title/Summary/Keyword: 화학적 식각

Search Result 209, Processing Time 0.033 seconds

임프린트 나노패턴의 연속적인 구조변형 연구

  • Kim, Su-Hyeon;Park, Dae-Geun;Lee, Cho-Yeon;Yun, Wan-Su
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.418-418
    • /
    • 2014
  • 나노임프린트 공정으로 제작된 동일한 형태의 패턴 구조를 변형하거나, 표면의 특성을 조절하는 것은 임프린트 공정의 응용성을 높일 수 있는 유용한 기술이다. 본 연구진은 플라즈마와 열처리를 통하여 임프린트 나노패턴의 크기를 변형하는 연구[1]와 나노구조의 형태에 따른 표면특성의 변화 연구[2]를 수행한 바 있는데, 본 연구에서는 나노임프린트 패턴의 구조 및 표면특성을 단일 칩 내에서 연속적으로 변화하도록 제작하는 방법에 관해 고찰하였다. 나노임프린트 공정으로 제작한 패턴을 반응성이 연속적으로 변화하도록 고안된 산소 플라즈마 장치에서 식각하여 구조를 연속적으로 변형하고, 전자현미경(SEM)과 원자힘현미경(AFM), 집속이온빔(FIB) 등을 통해 표면과 단면을 확인하였으며, 구조변형 이후의 후처리에 따른 접촉각 등의 변화를 관찰하여 임프린트 나노구조 패턴 표면의 화학적 특성을 조절하는 방법을 탐구하였다. 본 연구 결과는 단일한 모 패턴으로부터 다양한 크기의 패턴을 제작하고 화학적 특성을 조절하는 것이 가능함을 보이는 것으로서, 향후 이러한 연속적 변화를 갖는 미세구조를 이용하여 혼합 물질의 분리 및 바이오 물질의 검출 등에 응용할 수 있을 것으로 기대된다.

  • PDF

Etcher용 상부전극의 Life Time 평가 방법 연구

  • No, Seung-Wan;Song, Je-Beom;Sin, Jae-Su;Gang, Sang-U;Kim, Jin-Tae;Sin, Yong-Hyeon;Yun, Ju-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.43-43
    • /
    • 2010
  • 반도체 및 디스플레이의 진공부품은 알루미늄 모제에 전해연마법(electrolytic polishing), 양극산화피막법(anodizing), 플라즈마 용사법(plasma spray) 등을 사용하여 $Al_2O_3$ 피막을 성장시켜 사용되고 있다. 반도체 제조공정 중 30~40% 이상의 비중을 차지하는 식각(etching) 및 증착(deposition) 공정의 대부분 은 플라즈마에 의해 화학적, 물리적 침식이 발생하여 피막에 손상을 일으켜 피막이 깨지거나 박리되면서 다량의 particle을 생성함으로써 생산수율에도 문제를 야기 시킨다. 본 연구에서는 이러한 진공부품의 하나인 etcher용 상부전극을 양극산화피막법(Anodizing)으로 $Al_2O_3$ 피막을 성장시킨 샘플을 제작하여 플라즈마 처리에 따른 내전압, 식각율, 표면 미세구조의 변화를 관찰하였고 이를 종합적으로 고려하여 etcher용 상부전극의 Life Time 평가 방법을 연구하였다. 이러한 실험을 통해 플라즈마 처리 후 피막에 크랙이 발생되는 것을 확인할 수 있었고 피막의 손상으로 전기적 특성이 감소되는 것을 확인할 수 있었다. 또한 플라즈마 처리 중 ISPM 장비를 이용하여 플라즈마 공정에서 발생하는 오염입자를 실시간으로 측정할 수 있는 방법을 연구하였다. 이러한 결과를 이용하여 진공공정에서 사용되는 코팅부품이 플라즈마에 의한 손상정도를 정량화 하고 etcher용 상부전극의 Life Time 평가 방법을 개발하여 부품 양산업체의 진공장비용 코팅부품의 개발 신뢰성 향상이 가능할 것으로 기대된다.

  • PDF

Pulse Inductively Coupled Plasma를 이용한 Through Silicon Via (TSV) 형성 연구

  • Lee, Seung-Hwan;Im, Yeong-Dae;Yu, Won-Jong;Jeong, O-Jin;Kim, Sang-Cheol;Lee, Han-Chun
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2008.11a
    • /
    • pp.18-18
    • /
    • 2008
  • 3차원 패키징 System In Package (SIP)구조에서 Chip to Chip 단위 Interconnection 역할을 하는 Through Silicon Via(TSV)를 형성하기 위하여 Pulsating RF bias가 장착된 Inductively Coupled Plasma Etcher 장비를 이용하였다. 이 Pulsating 플라즈마 공정 방법은 주기적인 펄스($50{\sim}500Hz$)와 듀티($20{\sim}99%$) cycle 조절이 가능하며, 플라즈마 에칭특성에 영향을 주는 플라즈마즈마 발생 On/Off타임을 조절할 수 있다. 예를 들면, 플라즈마 발생 Off일 경우에는 이온(SFx+, O+)과 래디컬(SF*, F*, O*)의 농도 및 활성도를 급격하게 줄이는 효과를 얻을 수가 있는데, 이러한 효과는 식각 에칭시, 이온폭격의 손상을 급격하게 줄일 수 있으며, 실리콘 표면과 래디컬의 화학적 반응을 조절하여 에칭 측벽 식각 보호막 (SiOxFy : Silicon- Oxy- Fluoride)을 형성하는데 영향을 미친다. 그리고, TSV 형성에 있어서 큰 문제점으로 지적되고 있는 언더컷과 수평에칭 (Horizontal etching)을 개선하기 위한 방법으로, Black-Siphenomenon을 이번 실험에 적용하였다. 이 Black-Si phenomenon은 Bare Si샘플을 이용하여, 언더컷(Undercut) 및 수평 에칭 (Horizontal etching)이 최소화 되는 공정 조건을 간편하게 평가 할 수 있는 방법으로써, 에칭 조건 및 비율을 최적화하는 데 효율적이었다. 결과적으로, Pulsating RF bias가 장착된 Inductively Coupled Plasma Etcher 장비를 이용한 에칭실험은 펄스 주파수($50{\sim}500Hz$)와 듀티($20{\sim}99%$) cycle 조절이 가능하여, 이온(SFx+, O+)과 래디컬(SF*, F*, O*)의 농도와 활성화를 조절 하는데 효과적이었으며, Through Silicon Via (TSV)를 형성 하는데 있어서 Black-Si phenomenon 적용은 기존의 Continuous 플라즈마 식각 결과보다 향상된 에칭 조건 및 에칭 프로파일 결과를 얻는데 효과적이었다.

  • PDF

항만운영정보시스템의 데이터전송방식 개선에 관한 연구

  • 김칠호;박남규;최형림
    • Proceedings of the Korean Institute of Navigation and Port Research Conference
    • /
    • 1999.10a
    • /
    • pp.187-197
    • /
    • 1999
  • 해양수산부가 개발 .운영 중인 항만운영정보시스템(PORT-MIS)은 선박입출항 관련 업무, 수출입 화물 반출입에 관한 업무, 항만시설물관리에 관한 업무, 의사결정지원시스템에 관한 업무 등 크게 4개 업무오 구성되어 있으며, 총 19개의 전자문서와 1,500여개의 단위 프로그램으로 구성되어 있다. 그동안 PORT-MIS를 권역별로 확대 운영하면서 발생한 여러 가지 문제점들을 보완하기 위해 해양수산부와 정보통신부(한국전산원)가 공동으로 $\ulcorner$수출입화물 일괄처리시스템 구축$\lrcorner$ 용역 사업을 현재 진행 중에 있다. 본 연구는 용역과업 내용에 포함되어 있지 않으면서 개선이 필요한 외항선(국전선.외국전선 포함) 선박입항보고서(최초.변경.최종)와 선박출항보고서(최초.변경.최종), 내항선입.출항신고서, 예선사용허가신청서 및 지정서, 도선사용허가신청서 및 지정서 등의 민원업무를, 사용자로 하여금 최소한의 노력으로 처리할 수 있도록 제출방법을 개선(EDI방식에서 온라인방식으로)하여 행정소요시간을 단축함으로써 PORT-MIS의 효율성을 높일 수 있는 방안을 제시하고자 한다. 이러한 노력을 통해 PORT-MIS EDI업무가 개선되어 선박입.출항보고를 1회롤 처리할 수 있다면 연간 29만9천건의 서류절감으로 약 1억3천7백만원의 물류비를 줄일 수 있으며, 시간 단축에 따른 간접비용을 계산하면 보다 많은 효과가 있다고 판단된다. 그리고 내항선입.출항신고서 및 예.도선업무를 EDI방식에서 온라인방식으로 전환함으로써 선사와 예선업체 및 도선사협회가 대화형식으로 업무처리가 이루어져 분쟁을 최소화 할 수 있다면, 전자문서 31만6천건/년 절감으로 1억3백만원/년의 예산이 절감될 것으로 예상된다.rr로 변화시켰고 inductive power는 200~800watt, bias voltage는 0~-200voltage로 변화시켰으며 식각마스크로는 SiO2를 patterning 하여 사용하였다. n-GaN, p-GaN 층 이외에 광소자 제조시 필수적인 InGaN 층을 100% Cl2로 식각한 경우에 InGaN의 식각속도가 GaN에 비해 매우 낮은 식각속도를 보였다. Cl2 gas에 소량의 CH4나 Ar gas를 첨가하는 경우와 공정압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%Ar 플라즈마에서 공정 압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%CHF3 와 Cl2/10%Ar 플라즈마에서 공정압력을 15mTorr로 감소시키는 경우 InGaN과 GaNrks의 선택적인 식각이 가능하였다. InGaN의 식각속도는 Cl2/Ar 플라즈마의 이온에 의한 Cl2/CHF3(CH4) 플라즈마에서의 CHx radical 형성에 의하여 증가하는 것으로 사료되어 진다.$ 이상을 나타내었다. 박막의 Sn/In atomic ratio는 0.12, O/In의 비율은 In2O3의 화학양론적 비율인 1.5보다 작은 1.3을 나타내었다.로 보인다.하면 수평축과 수직축의 분산 장벽의 비에 따라 cluster의 두께비가 달라지는 성장을 볼 수 있었고, 한 축 방향으로의 팔 넓이는 fcc(100) 표면의 경우 동일한 Ed+Ep값에 대응하는 팔 넓이와 거의 동일한 결과가 나타나는 것을 볼 수 있다. 따라서 이러한 비대칭적인 모양을 가지는 성장의 경우도 cluster 밀도, cluster 모양, cluster의 양 축 방향 길이 비, 양 축 방향의 평균 팔 넓이로부터 각 축 방향의 분산 장벽을 얻어낼 수 있을 것으로 보인다. 기대할 수 있는 여러

Effect of Etching Treatment of SAPO-34 Catalyst on Dimethyl Ether to Olefins Reaction (DTO 반응에 미치는 SAPO-34 촉매의 식각 처리 효과)

  • Song, Kang;Yoon, Young-Chan;Park, Chu-Sik;Kim, Young-Ho
    • Applied Chemistry for Engineering
    • /
    • v.32 no.1
    • /
    • pp.20-27
    • /
    • 2021
  • Effects of the etching treatment of SAPO-34 catalyst were investigated to improve the catalytic lifetime in DTO reaction. The aqueous NH3 solution was a more appropriate treatment agent which could control the degree of etching progress, compared to that of using a strong acid (HCl) or alkali (NaOH) solution. Therefore, the effect on characteristics and lifetime of SAPO-34 catalyst was observed using the treatment concentration and time of aqueous NH3 solution as variables. As the treatment concentration or time of aqueous NH3 solution increased, the growth of erosion was proceeded from the center of SAPO-34 crystal plane, and the acid site concentration and strength gradually decreased. Meanwhile, it was found that external surface area and mesopore volume of SAPO-34 catalyst increased at appropriate treatment conditions. When the treatment concentration and time were 0.05 M and 3 h, respectively, the lifetime of the treated SAPO-34 catalyst was the longest, and was significantly enhanced by ca. 36% (based on DME conversion of > 90%) compared to that of using the untreated catalyst. The model for the etching progress of SAPO-34 catalyst in a mild treatment process using aqueous NH3 solution was also proposed.

Fabrication of Nanopatterned Oxide Layer on GaAs Substrate by using Block Copolymer and Reactive Ion Etching (블록 공중합체와 반응성 이온식각을 이용한 GaAs 기판상의 나노패터닝된 산화막 형성)

  • Kang, Gil-Bum;Kwon, Soon-Mook;Kim, Seoung-Il;Kim, Yong-Tae;Park, Jung-Ho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.16 no.4
    • /
    • pp.29-32
    • /
    • 2009
  • Dense and periodic arrays of nano-sized holes were patterned in oxide thin film on GaAs substrate. To obtain the nano-size patterns, self-assembling diblock copolymer was used to produce thin film of uniformly distributed parallel cylinders of polymethylmethacrylate (PMMA) in polystyrene (PS) matrix. The PMMA cylinders were removed with UV expose and acetic acid rinse to produce PS nanotemplate. By reactive ion etching, pattern of the PS template was transferred to under laid silicon oxide layer. Transferred patterns were reached to the GaAs substrate by controlling the dry etching time. We confirmed the achievement of etching through the removing oxide layer and observation of GaAs substrate surface. Optimized etching time was 90 to 100 sec. Pore sizes of the nanopattern in the silicon oxide layer were 20~22 nm.

  • PDF

Buckling과 Freehang을 이용한 DLC 필름의 접착에너지 평가

  • 정진원;문명운;이광렬;고대홍
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.127-127
    • /
    • 2000
  • 다이아몬드상 카본(Diamond-like Carbon, DLC) 필름은 비정질 재료로서 다이아몬드와 유사한 높은 경도, 내마모성, 화학적 안정성, 그리고 광학적 특성을 가지고 있으며, 낮은 마찰계수와 높은 탄성률 등으로 인해 많은 분야에서 응용이 연구되고 있는 재료이다. 그러나 DLC 필름이 이러한 우수한 특성이 가지고 있음에도 불구하고 수 GPa에 이르는 높은 압축 잔류 응력으로 인해 응용에 제약을 받고 있다. 이러한 압축 잔류 응력이 상당한 값에 이르게 되면 기판의 구속에서 벗어나게 되어, 기판으로부터 떨어지게 되고 굽힘을 받게 되는 delamination buckling 현상이 일어나기도 한다. 본 연구에서는 높은 잔류 응력으로 인해 자연적으로 발생하는 buckling 현상과 식각 과정을 통해 인위적으로 기판의 제한으로부터 필름을 완화시키는 freehang 방법을 이용하여 필름이 기판에 접착되는데 필요한 에너지를 평가하려고 한다. 본 실험에서는 rf-PACVD 장비를 이용하여 필름을 증착하였다. 이때 전극과 플라즈마 사이의 바이어스 음전압은 -100~700 Vb로 변화를 주었으며, 합성압력은 9mTorr로 고정하였다. 사용한 반응 가스는 메탄(CH4)이고, 아르곤(Ar)을 이용하여 모든 실험에서 동일하게 기판을 전처리 하였다. buckling 현상을 관찰하기 위해 사용된 기판은 slide glass이고, freehang을 제작하기 위해 사용된 기판은 (100) p-type Si wafer 이다. freehang 제작시 사용한 식각 용액은 KOH(5.6mol)이며 외부 요인을 제거하기 위해 7$0^{\circ}C$ 항온조를 사용하였다. Buckling 된 필름과 freehang은 광학 현미경과 전자 주사 현미경에 의해 관찰되었으며, 사인 함수 형태의 곡면을 가지고 있었다. 또한 freehang 제작시 각각의 주기와 진폭을 통해, 필름과 기판사이의 계면에너지와 buckling 되면서 새로 생성된 두 표면에너지 차이를 구할 수 있게 되고, 이를 통해 접착에너지를 평가할 수 있었다.

  • PDF

Surface Reaction Modeling for Plasma Etching of SiO2 Thin Film (실리콘 산화막의 플라즈마 식각에 대한 표면반응 모델링)

  • Im, YeonHo
    • Korean Chemical Engineering Research
    • /
    • v.44 no.5
    • /
    • pp.520-527
    • /
    • 2006
  • A realistic surface model is presented for prediction of various surface phenomena such as polymer deposition, suppression and sputtering as a function of incidence ion energy in high density fluorocarbon plasmas. This model followed ion enhanced etching model using the "well-mixed" or continuous stirred tank reactor (CSTR) assumption to the surface reaction zone. In this work, we suggested ion enhanced polymer formation and decomposition mechanisms that can capture $SiO_2$ etching through a steady-state polymer film on $SiO_2$ under the suppression regime. These mechanisms were derived based on experimental data and molecular dynamic simulation results from literatures. The model coefficients are obtained from fits to available beam and plasma experimental data. In order to show validity of our model, we compared the model results to high density fluorocarbon plasma etching data.

Effects of Bias Voltage and Ion-incident Angle on the Etching of Photoresist in a High-density CHF3 Plasma (고밀도 CHF3 플라즈마에서 바이어스 전압과 이온의 입사각이 Photoresist의 식각에 미치는 영향)

  • Kang, Se-Koo;Min, Jae-Ho;Lee, Jin-Kwan;Moon, Sang Heup
    • Korean Chemical Engineering Research
    • /
    • v.44 no.5
    • /
    • pp.498-504
    • /
    • 2006
  • The etch rates of photoresist (PR) and the etch selectivity of $SiO_2$ to PR in a high density $CHF_3$ plasma were investigated at different ion-incident angles and bias voltages. A Faraday cage was employed for the accurate control of ion-incident angles. The ion energy was controlled by changing bias voltages. The etch rate of $SiO_2$ continuously decreased with ion-incident angles but the etch rate of PR remained constant up to the middle angle region and decreased afterwards. The etch rates of $SiO_2$ normalized to those at $0^{\circ}$ incident angle changed with the ion-incident angle following a cosine(${\theta}$) curve. On the other hand, the normalized etch rates of the PR changed showing a drastic over-cosine shape in the middle angle region. The etch selectivity of $SiO_2$ to PR decreased with an increase in the ion-incident angle because the etch yields of PR were enhanced by physical sputtering in the middle angle region compared to the case of $SiO_2$ etching. The etch selectivity of $SiO_2$ to PR decreased with an increase in the bias voltage at nearly all ion-incident angles.

Real-time wafer thin-film thickness measurement system implementation with eddy current sensors. (와전류센서를 이용한 실시간 웨이퍼 박막두께측정 시스템 구현)

  • Kim, Nam-woo;Hur, Chang-Wu
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2013.10a
    • /
    • pp.383-385
    • /
    • 2013
  • 반도체소자의 고속실현을 위해서 알루미늄배선에서 40% 가량 성능을 높이는 반면 제조비용은 30%까지 낮출 수 있는 구리를 선호하고 있으나, 식각이 잘 되지 않아 원하는 패턴으로 만들어 내기가 곤란한 공정기술의 어려움과 구리물질이 지닌 유독성문제를 가지고 있다. 기존의 식각기술로는 구리패턴을 얻을 수 없는 기술적 한계 때문에 화학.기계적 연마(CMP)를 이용한 평탄화와 연마를 통해서 구리배선을 얻는 다마스커스(Damascene)기술이 개발됐고 이를 이용한 구리배선기술이 현실적으로 가능하게 됐다. CMP를 이용한 평탄화 및 연마 공정에서 Wafer에 도포된 구리의 두께를 실시간으로 측정하여 정밀하게 제어할필요가 있는데, 본 논문에서는 와전류를 이용하여 옹고스트롬 단위의 두께를 실시간으로 측정하여 제어 하는 시스템구현에 대해 기술한다.

  • PDF