• 제목/요약/키워드: 진공장비

검색결과 628건 처리시간 0.031초

Study of Treatment Methods on Solution-Processed ZnSnO Thin-Film Transistors for Resolving Aging Dynamics

  • 조광원;백일진;조원주
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.348-348
    • /
    • 2014
  • 차세대 디스플레이 구동 회로 소자를 위한 재료로서, Amorphous Oxide Semiconductor (AOS)가 주목받고 있다. AOS는 기존의 Amorphous Silicon과 비교하여 뛰어난 이동도를 가지고 있으며, 넓은 밴드 갭에 의한 투명한 광학적 특성을 가지고 있다. 이러한 장점을 이용하여, AOS 박막은 thin film transistor (TFT)의 active channel로 이용 되고 있다. 하지만, AOS를 이용한 TFT의 경우, 시간이 경과함에 따라 $O_2$$H_2O$ 흡착에 의해 전기적 특성이 변하는 현상이 있다. 이러한 현상은 소자의 신뢰성에 있어 중요한 문제가 된다. 이러한 문제를 연구하기 위해 본 논문에서는, AOS 박막을 이용하여 bottom 게이트형 TFT를 제작하였다. 이를 위해 먼저, p-type Si 위에 건식산화방식으로 $SiO_2$(100 nm)를 성장시켜 게이트 산화막으로 이용하였다. 그리고 Zn과 Sn이 1: 2의 조성비를 가진 ZnSnO (ZTO) 용액을 제조한 후, 게이트 산화막 위에 spin coating 하였다. Splin coating된 용액에 남아 있는 솔벤트를 제거하기 위해 10분 동안 $230^{\circ}C$로 열처리를 한 후, 포토리소그래피와 에칭 공정을 이용하여 ZTO active channel을 형성하였다. 그 후, 박막 내에 남아 있는 불순물을 제거하고 ZTO TFT의 전기적인 특성을 향상시키기 위하여, $600^{\circ}C$의 열처리를 30분 동안 진행 하여 junctionless형 TFT 제작을 완료 하였다. 제작된 소자의 시간 경과에 따른 열화를 확인하기 위하여, 대기 중에서 2시간마다 HP-4156B 장비를 이용하여 전기적인 특성을 확인 하였으며, 이러한 열화는 후처리 공정을 통하여 회복시킬 수 있었다. 열화의 회복을 위한 후처리 공정으로, 퍼니스를 이용한 고온에서의 열처리와 microwave를 이용하여 저온 처리를 이용하였다. 결과적으로, TFT는 소자가 제작된 이후, 시간에 경과함에 따라서 on/off ratio가 감소하여 열화되는 경향을 보여 주었다. 이러한 현상은, TFT 소자의 ZTO back-channel에 대기 중에 있는 $O_2$$H_2O$의 분자의 물리적인 흡착으로 인한 것으로 보인다. 그리고 추가적인 후처리 공정들에 통해서, 다시 on/off ratio가 회복 되는 현상을 확인 하였다. 이러한 추가적인 후처리 공정은, 열화된 소자에 퍼니스에 의한 고온에서의 장시간 열처리, microwave를 이용한 저온에서 장시간 열처리, 그리고 microwave를 이용한 저온에서의 단 시간 처리를 수행 하였으며, 모든 소자에서 성공적으로 열화 되었던 전기적 특성이 회복됨을 확인 할 수 있었다. 이러한 결과는, 저온임에도 불구하고, microwave를 이용함으로 인하여, 물리적으로 흡착된 $O_2$$H_2O$가 짧은 시간 안에 ZTO TFT의 back-channel로부터 탈착이 가능함과 동시에 소자의 특성을 회복 가능 함 의미한다.

  • PDF

InGaN/GaN Blue LED device 제조시 ALD (Atomic Layer Deposition) 방법으로 증착된 Al2O3 Film의 Passivation 효과

  • 이성길;방진배;양충모;김동석;이정희
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.211-212
    • /
    • 2010
  • GaN 기반의 상부발광형 LED는 동작되는 동안 생기는 전기적 단락, 그리고 칩 위의 p-형 전극과 n-형 전극 사이에 생기는 누설전류 및 신뢰성 확보를 위하여 칩 표면에 passivation 층을 형성하게 된다. SiO2, Si3N4와 같은 passivation layers는 일반적으로 PECVD (Plasma Enhanced Chemical Vapor Deposition)공정을 이용한다, 하지만 이는 공정 특성상 plasma로 인한 damage가 유발되기 때문에 표면 누설 전류가 증가 한다. 이로 인해 forward voltage와 reverse leakage current의 특성이 저하된다. 본 실험에서는 원자층 단위의 박막 증착으로 인해 PECVD보다 단차 피복성이 매우 우수한 PEALD(Plasma Enhanced Atomic Layer Deposition)공정을 이용하여 Al2O3 passivation layer를 증착한 후, 표면 누설전류와 빛의 출력 특성에 대해서 조사해 보았다. PSS (patterned sapphire substrate) 위에 성장된 LED 에피구조를 사용하였고, TCP(Trancformer Copled Plasma)장비를 사용하여 에칭 공정을 진행하였다. 이때 투명전극을 증착하기 위해 e-beam evaporator를 사용하여 Ni/Au를 각각 $50\;{\AA}$씩 증착한 후 오믹 특성을 향상시키기 위하여 $500^{\circ}C$에서 열처리를 해주었다. 그리고 Ti/Au($300/4000{\AA}$) 메탈을 사용하여 p-전극과 n-전극을 형성하였다. Passivation을 하지 않은 경우에는 reverse leakage current가 -5V 에서 $-1.9{\times}10-8$ A 로 측정되었고, SiO2와 Si3N4을 passivation으로 이용한 경우에는 각각 $8.7{\times}10-9$$-2.2{\times}10-9$로 측정되었다. Fig. 1 에서 보면 알 수 있듯이 5 nm의 Al2O3 film을 passivation layer로 이용할 경우 passivation을 하지 않은 경우를 제외한 다른 passivation 경우보다 reverse leakage current가 약 2 order ($-3.46{\times}10-11$ A) 정도 낮게 측정되었다. 그 이유는 CVD 공정보다 짧은 ALD의 공정시간과 더 낮은 RF Power로 인해 plasma damage를 덜 입게 되어 나타난 것으로 생각된다. Fig. 2 에서는 Al2O3로 passivation을 한 소자의 forward voltage가 SiO2와 Si3N4로 passivation을 한 소자보다 각각 0.07 V와 0.25 V씩 낮아지는 것을 확인할 수 있었다. 또한 Fig. 3 에서는 Al2O3로 passivation을 한 소자의 output power가 SiO2와 Si3N4로 passivation을 한 소자보다 각각 2.7%와 24.6%씩 증가한 것을 볼 수 있다. Output power가 증가된 원인으로는 향상된 forward voltage 및 reverse에서의 leakage 특성과 공기보다 높은 Al2O3의 굴절률이 광출력 효율을 증가시켰기 때문인 것으로 판단된다.

  • PDF

이온산란분광법을 이용한 Si(113)의 표면 구조 변화 관찰

  • 조영준;최재운;강희재
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2000년도 제18회 학술발표회 논문개요집
    • /
    • pp.148-148
    • /
    • 2000
  • 지금까지 반도체 표면에 대한 연구는 주로 (1000, (111) 표면 등 낮은 밀러 지표를 가진 표면에 대해 이루어져 왔다. 이에 반해 밀러 지표가 높은 Si 면은 불안정하고, 가열하면 다른 표면, 즉 지표가 낮은 면으로 재배열하는 경향이 있는 것으로 알려져 있는데 아직 이들 높은 밀러 지표를 가진 표면에 대한 연구는 미미한 상태이다. 그러나, Si(113)면은 밀러 지표가 높으면서도 안정하기 때문에 Si(113)의 구조를 정확하게 알 수 있다면 밀러 지표가 낮은 Si 표면이 안정한 이유를 이해할 수 있을 것이다. 따라서 본 연구에서는 TOF-CAICISS 장치(Time of Flight - CoAxial Impact Collision Ion Scattering Spectroscopy) 장비와 RHEED(Reflection High Energy Electron Diffrction)를 이용하여 Si(113) 표면의 구조와 Si(113) 표면의 온도에 따른 구조 변화를 관찰하였다. TOF-CAICISS 실험결과를 보면 (3$\times$2)에서 (3$\times$1)으로 상변환하면서 Si(113) 표면에 오각형을 이루는 dimer 원자들과 adatom 원자들간의 높이차가 작아짐을 알 수 있다. RHEED 실험결과와 전산 모사 결과로부터 상온에서 Si(113)(3$\times$2) 구조를 가지다가 45$0^{\circ}C$~50$0^{\circ}C$에서 Si(113) (3$\times$1) 구조로 상변환한다는 것을 알 수 있다. 그러나, 아직 상전이 메카니즘은 명확하게 밝혀지지 않았다. 실험결과를 전산 모사와 비교함으로써 Si(113) 표면에 [33]방향으로 이온빔을 입사시켰을 경우 dabrowski 모델과 Ranke AI 모델이 적합하지 않다는 것을 알 수 있다./TEX>, shower head의 온도는 $65^{\circ}C$로 설정하였다. 증착된 Cu 박막은 SEM, XRD, AFM를 통해 제작된 박막의 특성을 비교.분석하였다. 초기 plasma 처리를 한 경우에는 그림 1에서와 같이 현저히 증가한 초기 구리 입자들이 관측되었으며, 이는 도상 표면에 활성화된 catalytic site의 증가에 기인한다고 보여진다. 이러한 특성은 Cu films의 성장률을 향상시키고, 또한 voids를 줄여 전기적 성질 및 surface morphology를 향상시키는 것으로 나타났다. 결과 필름의 잔류 응력과 biaxial elastic modulus는 필름의 두께가 감소함에 따라 감소하는 경향을 나타냈으며, 같은 두께의 필름인 경우, 식각 깊이에 따른 biaxial elastic modulus 의 변화를 통해 최적의 식각 깊이를 알 수 있었다.도의 값을 나타내었으며 X-선 회절 data로부터 분석한 박막의 변형은 증온도에 따라 7.2%에서 0.04%로 감소하였고 이 이경향은 유전손실은 감소경향과 일치하였다.는 현저하게 향상되었다. 그 원인은 SB power의 인가에 의해 활성화된 precursor 분자들이 큰 에너지를 가지고 기판에 유입되어 치밀한 박막이 형성되었기 때문으로 사료된다.을수 있었다.보았다.다.다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 측면에서도 고부가 가치의 제품 개발에 따른 새로운 수요 창출과 수익률 향상, 기존의 기능성 안료를 나노(nano)화하여 나노 입자를 제조, 기존의 기능성 안료에 대한 비용 절감 효과등을 유도 할 수 있다. 역시 기술적인 측면에서도 특수소재 개발에 있어 최적의 나노 입자 제어기술 개발 및 나노입자를 기능성 소재로 사용하여 새로운 제품의 제조와 고압 기상 분사기술의 최적화에 의한 기능성 나노 입자 제조 기술을 확립하고 2차 오염 발생원인 유기계 항균제를 무기계 항균제로 대체할 수 있다.

  • PDF

텐덤형 태양전지를 위한 InAs 다중 양자점과 InGaAs 다중 양자우물에 관한 연구 (Design and Growth of InAs Multi-Quantum Dots and InGaAs Multi-Quantum Wells for Tandem Solar Cell)

  • 조중석;김상효;황보수정;장재호;최현광;전민현
    • 한국진공학회지
    • /
    • 제18권5호
    • /
    • pp.352-357
    • /
    • 2009
  • 본 연구에서는 1.1 eV의 에너지대역을 흡수할 수 있는 InAs 양자점구조와 1.3 eV의 에너지 대역을 흡수 할 수 있는 InGaAs 양자우물구조를 이용한 텐덤형 태양전지의 구조를 1D poisson을 이용해 설계하고, 분자선 에피택시 장비를 이용하여 각각 5, 10, 15층씩 쌓은 양자점 및 양자우물구조를 삽입하여 p-n접합을 성장하였다. Photoluminescence (PL) 측정을 이용한 광학적특성 평가에서 양자점 5층 및 양자우물 10층을 삽입한 구조의 PL 피크가 가장 높은 상대발광강도를 나타냈으며, 각각 1.1 eV 및 1.3 eV에서 57.6 meV 및 12.37 meV의 Full Width at Half Maximum을 나타내었다. 양자점의 밀도 및 크기는 Reflection High-Energy Electron Diffraction system과 Atomic Force Microscope를 이용해 분석하였다. 그리고 GaAs/AlGaAs층을 이용한 터널접합에서는 I-V 측정을 통하여 GaAs층의 두께(20, 30, 50 nm)에 따른 터널링 효과를 평가하였다. GaAs 층의 두께가 30 nm 및 50 nm의 터널접합에서는 backward diode 특성을 나타낸 반면, 20 nm GaAs층의 GaAs/AlGaAs 터널접합에서는 다이오드 특성 곡선을 확인하였다.

Effects of Annealing on Ni/Au Ohmic Contact to Nonpolar p-type GaN

  • 이동민;김재관;양수환;김준영;이성남;이지면
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.358-359
    • /
    • 2012
  • 최근 분극 특성이 상이한 무분극 GaN 에피성장에 관한 심도 있는 연구와 함께 전자-전공 캐리어의 주입 및 캐리어의 거동, 방출되는 편광 특성 및 다양한 물리적 특성들에 대해 보고되고 있으며, 광학적 특성 및 물리적 특성의 확보를 위한 많은 연구가 활발히 진행 중이다 [1]. GaN의 ohmic 접촉(ohmic contact)의 형성은 발광 다이오드(light emitting diode), 레이저 다이오드(Laser), 태양전지(solar cell)와 같은 고신뢰도, 고효율 광전자 소자를 제조하기 위해서는 매우 중요하다 [2]. 그러나 이와 함께 병행 되어야 할 무분극 p-GaN 의 ohmic contact에 관한 연구는 많이 이루어지고 있지 않는 실정이다. 따라서 본 논문에서는 r-plane 사파이어 기판 상에 성장된 p-GaN에서의 ohmic 접촉 형성 연구를 위하여 Ni/Au ohmic 전극의 접촉저항 특성을 연구하였다. 본 실험에서는 성장된 a-plane GaN의 Hole농도가 $3.09{\times}1017cm3$ 인 시편을 사용하였다. E-beam evaporation 장비를 이용하여 Ni/Au를 각각 20 nm 그리고80 nm 증착 하였으며 비접촉저항을 측정하기 위해 Circle-Transfer Length Method (C-TLM) 패턴을 사용하였다. 샘플은 RTA (Rapid Thermal Annealing)를 사용하여 $300^{\circ}C$에서 $700^{\circ}C$까지 온도를 변화시키며 전기적 특성을 비교하여 그림 1(a) 나타내었다. 그림에서 알 수 있듯이 $400^{\circ}C$에서 가장 낮은 비접촉저항 값인 $6.95{\times}10-3{\Omega}cm2$를 얻을 수 있음을 발견하였다. 이 때의 I-V curve 도 그림1(b)에 나타낸 바와 같이 열처리에 의해 크게 향상됨을 알 수 있다. 그러나, $500^{\circ}C$ 이상 온도를 증가시키면 다시 비접촉 저항이 증가하는 것을 관찰하였다. XRD (x-Ray Diffraction) 분석을 통하여 $400^{\circ}C$ 이상열처리 온도가 증가하면 금속 표면에 $NiO_2$가 형성되며, 이에 따라 오믹특성이 저하 된다고 사료된다. 또한 $Ni_3N$의 존재를 확인 하였으며 이는 nonpolar surface의 특성으로 인해 nitrogen out diffusion 현상이 동시에 발생하여 계면에는 dopant로 작용하는 질소 공공을 남기고 표면에 $Ni_3N$을 형성하여 ohmic contact의 특성이 저하되기 때문인 것으로 사료된다.

  • PDF

마그네트론 스퍼터링에 의해 제조된 CrAlSiN 박막의 화학성분에 따른 온도저항계수와 미세구조

  • 문선철;하상민;김상호
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.100-102
    • /
    • 2013
  • Magnetron-sputtering법을 사용하여 기존에 연구하였던 CrAlN (Cr 7:Al 3)박막에 Si를 첨가하여 Si의 함량 변화에 따라 미세구조와 화학적 결합상태, 온도저항계수(TCR) 및 산화저항의 영향과 기계적특성 개선을 통한 multi-functional heater resistor layer로써의 가능성을 연구하였다. CrAlSiN 박막의 Si 함량에 변화에 따라 온도저항계수 변화를 확인하였으며 X-선 회절 분석(XRD) 패턴 분석결과 CrAlSiN 박막의 결정구조가 Bl-NaCl 구조를 가지고 있는 것을 확인하였으며 SEM과 AFM을 통한 표면 및 미세구조 분석결과 Si의 함량이 증가할수록 입자가 조밀해짐을 알 수 있었다. 최근 digital priting technology의 핵심 기술로 부각되고 있는 inkjet priting technology는 널리 태양전지뿐만 아니라 thin film process, lithography와 같은 반도체 공정 기술에 활용 할 수 있기 때문에 반도체 제조장비에도 사용되고 있으며, 현재 thermal inkjet 방식을 사용하고 있다. Inkjet printing technology는 전기 에너지를 잉크를 배출하기 위해 열에너지로 변환하는 thermal inkjet 방식을 사용하고 있는데, 이러한 thermal inkjet 방식은 기본적으로 전기저항이 필요하지만 electrical resistor layer는 잉크를 높은 온도에서 순간적으로 가열하기 때문에 부식이나 산화 등의 문제가 발생할 수 있어 이에 대한 보호층을 필요로 한다. 하지만, 고해상도, 고속 잉크젯 프린터, 대형 인쇄 등을 요구되고 있어 저 전력 중심의 잉크젯 프린터의 열효율을 방해하는 보호층 제거에 필요성이 제기되고 있다. 본 연구는 magnetron-sputtering을 사용하여 기존의 CrAlN 박막에 Si를 합성하여 anti-oxidation, corrosion resistance 그리고 low temperature coefficient of resistance 값을 갖는 multi-functional heater resistor layer로써 CrAlSiN 박막의 Si 함량에 따른 효과에 초점을 두었다. 본 실험은 CrAlN 박막에 Si 함량을 4~11 at%까지 첨가시켜 함량의 변화에 따른 특성변화를 확인하였다. 함량이 증가할수록 amorphous silicon nitride phase의 영향으로 박막의 roughness는 감소하였으며 XRD 분석결과 (111) peak의 Intensity가 감소함을 확인하였으며 SEM 관찰시 모든 박막이 columnar structure를 나타내었으며 Si함량이 증가할수록 입자가 치밀해짐을 보여주었다.Si함량이 증가할수록 CrAlN 박막에 비하여 면저항은 증가하였으며 TCR 측정결과 Si함량이 6.5 at%일 때 가장 안정한 TCR값을 나타내었다. Multi-functional heater resistor layer 역할을 하기 위해서, CrAlSiN 박막의 원소 분포, 표면 거칠기, 미세조직, 전기적 특성 등을 조사하였다. CrAlN 박막의 Si의 첨가는 크게 XRD 분석결과 주상 성장을 억제 할 수 있으며 SEM 분석을 통하여 Si 함량이 증가할수록 Si3N4 형성이 감소하며 입자크기가 작아짐을 확인하였다. 면저항의 경우 Si 함량이 증가함에 따라 높은 면저항을 나타내었으며 Si함량이 6.5 at%일 때 가장 낮은 TCR 값인 3120.53 ppm/K값을 보였다. 이 값은 상용되고 있는 heater resistor보다 높지만, CrAlSiN 박막이 더 우수한 기계적 특성을 가지고 있기 때문에 hybrid heater resistor로 적용할 수 있을 것으로 기대된다.

  • PDF

전도성 향상을 위한 구리호일 위 CNT의 직접성장 및 전계방출 특성 평가 (Direct Growth of CNT on Cu Foils for Conductivity Enhancement and Their Field Emission Property Characterization)

  • 김진주;임선택;김곤호;정구환
    • 한국진공학회지
    • /
    • 제20권2호
    • /
    • pp.155-163
    • /
    • 2011
  • 탄소나노튜브(CNT)와 합성기판 사이의 전도성 향상을 목적으로, 현재 리튬이온이차전지 등의 분야에서 전극으로 이용되고 있는 구리 호일을 합성기판으로 하여, 그 위에 수직배향 CNT 성장의 합성 최적화를 도모하였다. 합성은 수평식 CVD 합성장비를 이용하였으며, 최적의 합성조건은 구리호일 위에 10 nm의 Al2O3 버퍼층과 1 nm 두께의 Fe 촉매층을 증착한 후, 아세틸렌 가스를 이용하여 $800^{\circ}C$에서 20분간 합성한 조건으로 설정하였다. CNT는 base-growth의 성장형태를 따랐고, Fe 1 nm 두께인 경우, $7.2{\pm}1.5nm$의 촉매나노입자가 형성되었으며, 이를 이용하여 $800^{\circ}C$에서 20분 성장결과, 직경 8.2 nm, 길이 $325{\mu}m$의 수직배향 CNT를 얻을 수 있었다. 합성시간이 길어져도 CNT의 결정성, 직경 및 겹(wall) 수에는 큰 변화가 없었다. 끝으로, 구리호일 위에 수직 성장시킨 CNT의 전계방출 특성을 측정한 결과, 실리콘 산화막 위에 성장시킨 CNT와 비교하여, 월등히 낮은 전계방출 문턱전압과 10배 정도 높은 전계향상계수를 보였다. 이는 CNT와 금속기판 사이의 계면에서 전기전도도가 향상된 결과에 기인하는 것으로 사료된다.

수소 플라즈마 처리된 BZO 박막에 산소 플라즈마의 재처리 조건에 따른 BZO 박막 특성 (Influence of O2-Plasma Treatment on the Thin Films of H2 Post-Treated BZO (ZnO:B))

  • 유하진;손창길;유진혁;박창균;김정식;박상기;강현동;최은하;조광섭;권기청
    • 한국진공학회지
    • /
    • 제19권4호
    • /
    • pp.275-280
    • /
    • 2010
  • MOCVD (Metal-Organic Chemical Vapor Deposition) 장비를 사용하여 BZO (boron doped zinc oxide, ZnO:B) 박막을 증착하고 수소 플라즈마 처리공정을 진행하였다. 본 연구는 수소 플라즈마 처리된 BZO 박막에 산소 플라즈마 재처리를 진행하여 BZO 박막의 특성 변화를 분석하였다. 그 결과 BZO 박막 성장은 (100), (101), (110)을 확인하였고, 산소 플라즈마 재처리에 의하여 일함수와 표면 저항이 증가하였다. 수소 플라즈마 처리만을 진행한 BZO 박막과 산소 플라즈마 재처리 공정을 진행한 BZO 박막의 300~1,100 nm에서 가중치 투과율은 86%로 변화하지 않았으며, 가중치 산란도는 12%에서 15%로 증가하였다.

공정가스와 RF 주파수에 따른 웨이퍼 표면 텍스쳐 처리 공정에서 저반사율에 관한 연구 (Study of Low Reflectance and RF Frequency by Rie Surface Texture Process in Multi Crystall Silicon Solar Cells)

  • 윤명수;현덕환;진법종;최종용;김정식;강형동;이준신;권기청
    • 한국진공학회지
    • /
    • 제19권2호
    • /
    • pp.114-120
    • /
    • 2010
  • 일반적으로 결정질 실리콘 태양전지에서 표면에 텍스쳐링(texturing)하는 것은 알칼리 또는 산성 같은 화학용액을 사용하고 있다. 그러나 실리콘 부족으로 실리콘의 양의 감소로 인하여 웨이퍼 두께가 감소하고 있는 추세에 일반적으로 사용하고 있는 습식 텍스쳐링 방법에서 화학용액에 의한 많은 양의 실리콘이 소모되고 있어 웨이퍼의 파손이 심각한 문제에 직면하고 있다. 그리하여 습식 텍스쳐링 방법보다는 플라즈마로 텍스쳐링할 수 있는 건식 텍스쳐링 방법인 RIE (reactive ion etching) 기법이 대두되고 있다. 그리고 습식 텍스쳐링으로는 결정질 실리콘 태양전지의 반사율을 10% 이하로는 낮출 수가 없다. 다결정 실리콘 웨이퍼 표면에 텍스쳐링을 하기 위하여 125 mm 웨이퍼 144개를 수용할 수 있는 대규모 플라즈마 RIE 장비를 개발하였다. 반사율을 4% 이하로 낮추기 위하여 공정가스는 $Cl_2$, $SF_6$, $O_2$를 기반으로 RIE 텍스쳐링을 하였고 텍스쳐링의 모양은 공정가스, 공정시간, RF 주파수 등에 의해 조절이 가능하였다. 본 연구에서 RIE 공정을 통하여 16.1%의 변환효율을 얻었으며, RF 주파수가 텍스쳐링의 모양에 미치는 영향을 살펴보았다.

Interfacial disruption effect on multilayer-films/GaN : Comparative study of Pd/Ni and Ni/Pd films

  • 김종호;강희재;김차연;전용석;서재명
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2000년도 제18회 학술발표회 논문개요집
    • /
    • pp.113-113
    • /
    • 2000
  • 직접천이형 wide band gap(3.4eV) 반도체중의 하나인 GaN를 청색 및 자외선 laser diode, 고출력 전자장비 등으로 응용하기 위해서는 낮은 접합저항을 갖는 Ohmic contact이 선행되어야 한다. 그러나 만족할만한 p-type GaN의 Ohmic contact은 아직 실현되고 있지 못하며, 이는 GaN와 접합 금속과의 구체적인 반응의 연구를 필요로 한다. 본 연구에서 앞서 Pt, Pt, Ni등의 late transition metal을 p-GaN에 접합시킨 결과 이들은 접합 당시 비교적 평탄하나 후열 처리과정에서 비교적 낮은 온도에서 기판과 열팽창계수의 차이로 인하여 평탄성을 잃어버리면서 barrier height가 증가한다는 사실을 확인하였다. 따라서 본 연구에서는 이러한 열적 불안정성을 극복하기 위하여 Ni과 Pd를 차례로 증착하고 가열하면서 interfacial reaction, film morphology, Fermi level의 움직임을 monchromatic XPS(x-ray photoelectron spectroscopy) 와 SAM(scanning Auger microscopy) 그리고 ex-situ AFM을 이용하여 밝히고자 하였다. 특히 후열처리에 의한 계면 반응에 수반되는 구성 금속원소 간의 합금현상과 금속 층의 평탄성이 밀접한 관계가 있다는 것을 확인하였다. 이러한 합금과정에서 나타나는 금속원소들의 중심 준위의 이동을 체계적으로 규명하기 위해서 Pd1-xNix와 Pd1-xGax 합금들의 표준시료를 arc melting method로 만들어 농도에 따른 금속원소들의 중심 준위의 이동을 측정하여, Pd/Ni/p-GaN 및 Ni/Pd/p-GaN 계에서 열처리 온도에 따른 interfacial reaction을 확인하였다. 그 결과 두 계가 상온에서 nitride 및 alloy를 형성하지 않고 고르게 증착되고, 열처리 온도를 40$0^{\circ}C$에서 $650^{\circ}C$까지 증가시킴에 따라 계면반응의 부산물인 metallic Ga은 증가하고 있으마 nitride는 여전히 형성되지 않는 것을 확인하였다. 증착당시 Ni이 계면에 있는 Pd/Ni/p-GaN의 경우에는 52$0^{\circ}C$까지의 열처리에 의하여 Ni과 Pd가 골고루 섞이고 그 평탄성도 유지되고 barier height의 변화도 없었다. 더 높은 $650^{\circ}C$ 가열에 의해서는 surface free energy가 작은 Ga의 활발한 편석 현상으로 인해 표면은 Ga이 풍부한 Pd-Ga의 합금층으로 덮이고, 동시에 작은 pinhole들이 발생하며 barrier height도 0.3eV 가량 증가하게 된다. 반면에 증착당시 Pd이 계면에 있는 Ni/Pd/p-GaN의 경우에는 40$0^{\circ}C$의 가열까지는 두 금속이 그들 계면에서부터 섞이나, 52$0^{\circ}C$의 가열에 의해 이미 barrier height가 0.2eV 가량 증가하기 시작하였다. 더 높은 $650^{\circ}C$가열에 의해서는 커다란 pinhole, 0.5eV 가량의 barrier height 증가, Pd clustering이 동시에 관찰되었다. 따라서 Ni과 Pd의 일함수는 물론 thermal expansion coefficient가 거의 같으며 surface free energy도 거의 일치한다는 점을 감안하면, 이렇게 뚜렷한 열적 안정성의 차이는 GaN와 contact metal과의 반응시작 온도(disruption onset temperature)의 차이에 기인함을 알 수 있었다. 즉 계면에서의 반응에 의해 편석되는 Ga에 의해 박막의 strain이 이완되면, pinhole 등의 박막결함이 줄어 들고, 이는 계면의 N의 out-diffusion을 방지하여 p-type GaN의 barrier height 증가를 막게 된다.

  • PDF