• Title/Summary/Keyword: 이온식각

Search Result 275, Processing Time 0.022 seconds

Fabrication of Superhydrophobic Micro-Nano Hybrid Structures by Reactive Ion Etching with Au Nanoparticle Masks (나노입자 마스크를 이용하여 제작한 초소수성 마이크로-나노 혼성구조)

  • Lee, C.Y.;Yoon, S.B.;Jang, G.E.;Yun, W.S.
    • Journal of the Korean Vacuum Society
    • /
    • v.19 no.4
    • /
    • pp.300-306
    • /
    • 2010
  • Superhydrophobic micro-nano hybrid structures were fabricated by reactive ion etching of hydrophobic polymer micro patterns using gold nanoparticles as etch masks. Micro structures of perfluoropolyether bisurethane methacrylate (PFPE) were prepared by soft-lithographic technique using polydimethylsiloxane (PDMS) molds. Water contact angles on the surfaces of various PFPE micro structures and corresponding micro-nano hybrid structures were compared to examine the effects of micro patterning and nanostructure formation in the manifestation of superhydrophobicity. The PFPE micro-nano hybrid structures exhibited a very stable superhydrophobicity, while the micro-only structures could not reach the superhydrophobicity but only showed the unstable hydrophobicity.

이온산란분광법을 이용한 Si(113)의 표면 구조 변화 관찰

  • 조영준;최재운;강희재
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2000.02a
    • /
    • pp.148-148
    • /
    • 2000
  • 지금까지 반도체 표면에 대한 연구는 주로 (1000, (111) 표면 등 낮은 밀러 지표를 가진 표면에 대해 이루어져 왔다. 이에 반해 밀러 지표가 높은 Si 면은 불안정하고, 가열하면 다른 표면, 즉 지표가 낮은 면으로 재배열하는 경향이 있는 것으로 알려져 있는데 아직 이들 높은 밀러 지표를 가진 표면에 대한 연구는 미미한 상태이다. 그러나, Si(113)면은 밀러 지표가 높으면서도 안정하기 때문에 Si(113)의 구조를 정확하게 알 수 있다면 밀러 지표가 낮은 Si 표면이 안정한 이유를 이해할 수 있을 것이다. 따라서 본 연구에서는 TOF-CAICISS 장치(Time of Flight - CoAxial Impact Collision Ion Scattering Spectroscopy) 장비와 RHEED(Reflection High Energy Electron Diffrction)를 이용하여 Si(113) 표면의 구조와 Si(113) 표면의 온도에 따른 구조 변화를 관찰하였다. TOF-CAICISS 실험결과를 보면 (3$\times$2)에서 (3$\times$1)으로 상변환하면서 Si(113) 표면에 오각형을 이루는 dimer 원자들과 adatom 원자들간의 높이차가 작아짐을 알 수 있다. RHEED 실험결과와 전산 모사 결과로부터 상온에서 Si(113)(3$\times$2) 구조를 가지다가 45$0^{\circ}C$~50$0^{\circ}C$에서 Si(113) (3$\times$1) 구조로 상변환한다는 것을 알 수 있다. 그러나, 아직 상전이 메카니즘은 명확하게 밝혀지지 않았다. 실험결과를 전산 모사와 비교함으로써 Si(113) 표면에 [33]방향으로 이온빔을 입사시켰을 경우 dabrowski 모델과 Ranke AI 모델이 적합하지 않다는 것을 알 수 있다./TEX>, shower head의 온도는 $65^{\circ}C$로 설정하였다. 증착된 Cu 박막은 SEM, XRD, AFM를 통해 제작된 박막의 특성을 비교.분석하였다. 초기 plasma 처리를 한 경우에는 그림 1에서와 같이 현저히 증가한 초기 구리 입자들이 관측되었으며, 이는 도상 표면에 활성화된 catalytic site의 증가에 기인한다고 보여진다. 이러한 특성은 Cu films의 성장률을 향상시키고, 또한 voids를 줄여 전기적 성질 및 surface morphology를 향상시키는 것으로 나타났다. 결과 필름의 잔류 응력과 biaxial elastic modulus는 필름의 두께가 감소함에 따라 감소하는 경향을 나타냈으며, 같은 두께의 필름인 경우, 식각 깊이에 따른 biaxial elastic modulus 의 변화를 통해 최적의 식각 깊이를 알 수 있었다.도의 값을 나타내었으며 X-선 회절 data로부터 분석한 박막의 변형은 증온도에 따라 7.2%에서 0.04%로 감소하였고 이 이경향은 유전손실은 감소경향과 일치하였다.는 현저하게 향상되었다. 그 원인은 SB power의 인가에 의해 활성화된 precursor 분자들이 큰 에너지를 가지고 기판에 유입되어 치밀한 박막이 형성되었기 때문으로 사료된다.을수 있었다.보았다.다.다양한 기능을 가진 신소재 제조에 있다. 또한 경제적인 측면에서도 고부가 가치의 제품 개발에 따른 새로운 수요 창출과 수익률 향상, 기존의 기능성 안료를 나노(nano)화하여 나노 입자를 제조, 기존의 기능성 안료에 대한 비용 절감 효과등을 유도 할 수 있다. 역시 기술적인 측면에서도 특수소재 개발에 있어 최적의 나노 입자 제어기술 개발 및 나노입자를 기능성 소재로 사용하여 새로운 제품의 제조와 고압 기상 분사기술의 최적화에 의한 기능성 나노 입자 제조 기술을 확립하고 2차 오염 발생원인 유기계 항균제를 무기계 항균제로 대체할 수 있다.

  • PDF

플라즈마 공정 진단을 위한 공간 분해 발광 분광 분석법 소개

  • Park, Chang-Hui;Kim, Dong-Hui;Choe, Seong-Won;Lee, Chang-Seok
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.81-81
    • /
    • 2013
  • 반도체, LCD, MEMs 등 미세 전자소자의 제작과 깊은 관련이 있는 IT 산업은 자동차 산업과 함께 세계 경제를 이끌고 있는 핵심 산업이며, 그 발전 가능성이 크다고 할 수 있다. 이 중 반도체, LCD 공정 기술에 관해서 대한민국은 세계를 선도하여 시장을 이끌어 나가고 있는 실정이다. 이들의 공정기술은 주로 높은 수율(yield)을 기반으로 한 대량 생산 기술에 초점이 맞추어져 있기 때문에, 현재와 같은 첨예한 가격 경쟁력이 요구되는 시대에서 공정 기술 개발을 통해 수율을 최대한으로 이끌어 내는 것이 현재 반도체를 비롯한 미세소자 산업이 직면하고 있는 하나의 중대한 과제라 할 수 있다. 특히 반도체공정에 있어 발전을 거듭하여 현재 20 nm 수준의 선폭을 갖는 소자들의 양산이 계획 있는데 이와 같은 나노미터급 선폭을 갖는 소자 양산과 관련된 CD (critical dimension)의 감소는 공차의 감소를 유발시키고 있으며, 패널의 양산에 있어서 생산 효율 증가를 위한 기판 크기의 대형화가 이루어지고 있다. 또한, 소자의 집적도를 높이기 위하여 높은 종횡비(aspect ratio)를 요구하는 공정이 일반화됨에 따라 단일 웨이퍼 내에서의 공정의 균일도(With in wafer uniformity, WIWU) 및 공정이 진행되는 시간에 따른 균일도(Wafer to wafer uniformity)의 변화 양상에 대한 파악을 통한 공정 진단에 대한 요구가 급증하고 있는 현실이다. 반도체 및 LCD 공정에 있어서 공정 균일도의 감시 및 향상을 위하여 박막, 증착, 식각의 주요 공정에 널리 사용되고 있는 플라즈마의 균일도(uniformity)를 파악하고 실시간으로 감시하는 것이 반드시 필요하며, 플라즈마의 균일도를 파악한다는 것은 플라즈마의 기판 상의 공간적 분포(radial direction)를 확인하여 보는 것을 의미한다. 현재까지 플라즈마의 공간적 분포를 진단하는 대표적인 방법으로는 랭뮤어 탐침(Langmuir Probe), 레이저 유도 형광법(Laser Induced Fluorescence, LIF) 그리고 광섬유를 이용한 발광분광법(Optical Emission Spectroscopy, OES)등이 있으나 랭뮤어 탐침은 플라즈마 본연의 상태에서 섭동(pertubation) 현상에 의한 교란, 이온에너지 측정의 한계로 인하여 공정의 실시간 감시에 적합하지 않으며, 레이저 유도 형광법은 측정 물질의 제한성 때문에 플라즈마 내부에 존재하는 다양한 종의 거동을 살필 수 없다는 단점 및 장치의 설치와 정렬(alignment)이 상대적으로 어려워 산업 현장에서 사용하기에 한계가 있다. 본 연구에서는 최소 50 cm에서 최대 400 cm까지 플라즈마 내 측정 거리에서 최대 20 mm 공간 분해가 가능한 광 수광 시스템 및 플라즈마 공정에서의 라디칼의 상태 변화를 분광학적 비접촉 방법으로 계측할 수 있는 발광 분광 분석기를 접목하여 플라즈마 챔버 내의 라디칼 공간 분포를 계측할 수 있는 진단 센서를 고안하고 이를 실 공정에 적용하여 보았다. 플라즈마 증착 및 식각 공정에서 형성된 박막의 두께 및 식각률과 공간 분해발광 분석법을 통하여 계측된 결과와의 매우 높은 상관관계를 확인하였다.

  • PDF

내장형 선형 ICP(Inductively Coupled Plasma) system에서 자장이 플라즈마와 PR 식각특성에 미치는 영향

  • 김경남;이영준;경세진;염근영
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2003.05a
    • /
    • pp.3-3
    • /
    • 2003
  • 고밀도 플라즈마를 생산할 수 있는 대면적용 플라즈마 소스의 개발은 미세전자구조 산업에서부터 FPD 산업에 이르기까지 많은 영역에 걸쳐 필수 불가결한 기술요소가 되어가고 있 다. 이러한 대면적용 고밀도 플라즈마에의 적용을 위하여 새로운 유도결합형 플라즈마 소오스의 개발이 진행되고 있으며, 차세대 반도체 식각 및 세정 공정을 위하여 여러 형태의 안 테나가 연구되어지고 있다. 그러나 TFT -LCD에 적용이 가능하게끔 기존의 ICP 소오스를 직 접적으로 대면적화 하는 데에는 여러 가지 문제점들로 인해 그 한계점이 들어났다. 그 예로 안테나의 길이가 길어짐에 따른 안테나 저항 값이 커지며, 안테나 소스 길이자체가 사용하는 인가전력(13.56MHz)의 반파장에 해당되는 길이가 되었을 경우 생기는 심각한 정상파 효과, 유전물질의 두께 증가 및 그에 따른 재료비의 상승 및 관리상의 어려움들이 바로 그것 이라 할 수 있겠다. 그러므로, 본 연구에서는 차세대 TFT -LCD 대면적 공정에 적용 가능한 고밀도 플라즈마 를 발생시키기 위해서 내장형 유도결합형 선혈 안테나를 사용하였다. 내장형 유도결합형 선 혈 안테나가 가지고 있는 고유의 정전기적 결합효과를 최소화시키기 위해 직사각형모앙의 플라즈마 댐버(830mm*1,020mm)에서 영구자석을 사용하여 multi-cusp 자장효과 및 다양 한 자장의 배열에 따른 플라즈마 특성변화를 살펴보았다. 영구자석을 사용하여 외부자장을 인가하였을 때가, 그럴지 않은 때보다 RF 안테나 코일의 전압을 낮춰주었으며, 영구자석의 배열에 따라 코일의 인덕턴스의 값이 크게 변함을 알 수 있었다. 그리고 최적화된 자장의 배열은 플라즈마의 이온밀도를 증가시켰으며, 플라즈마의 균일도도 10% 이내로 유지됨을 알 수 있었다. 또한 영구자석에 의한 자장의 유무 및 공정압력과 인가전력에 따른 P Photoresist Film의 식각특성에 관해 살펴보았다.증을 위한 실험.측정장비의 구입 및 업계와의 공동활용, 국내.외 최신기술 정보자료의 수집과 신속제공, 국내.외 전문가 초청 활 용, 미래 지향적 목적활용 기초연구사업 수행, 미래기술 동향예측 및 홍보 등을 통해 서 국내 도금기술의 기술자립 및 고도화를 위한 여건마련을 위하여 노력하고 있다.빛 이때의 부식속도(선형분극법), 인위적인 피막 파괴 전,후 의 전위 변화 및 부식속도 측정법에 의한 국부부식 발달 저지능 등을 평가하여 각 실험결과를 비교분석하여 보았다. 수록 민감하여 304 의 IGSCC 와 매우 유사한 거동을 보인다. 본 강연에서는 304 와 600 의 고온 물에서 일어나는 IGSCC 민감도에 미치는 환경, 예민화처리, 합금원소의 영향을 고찰하고 이에 대한 최근의 연구 동향과 방식 방법을 다룬다.다.의 목적과 지식)보다 미학적 경험에 주는 영향이 큰 것으로 나타났으며, 모든 사람들에게 비슷한 미학적 경험을 발생시키는 것 이 밝혀졌다. 다시 말하면 모든 사람들은 그들의 문화적인 국적과 사회적 인 직업의 차이, 목적의 차이, 또한 환경의 의미의 차이에 상관없이 아름다 운 경관(High-beauty landscape)을 주거지나 나들이 장소로서 선호했으며, 아름답다고 평가했다. 반면에, 사람들이 갖고 있는 문화의 차이, 직업의 차 이, 목적의 차이, 그리고 환경의 의미의 차이에 따라 경관의 미학적 평가가 달라진 것으로 나타났다.corner$적 의도에 의한 경관구성의 일면을 확인할수 있지만 엄밀히 생각하여 보면 이러한 예의 경우도 최락의 총체적인 외형은 마찬가지로 $\ulcorner$순응$\lrcorner$의 범위를 벗어나지 않는다. 그렇기 때문에도 $\ulcorner$순응$\lrcorner$$\ulcorner$표현$\lrcorner$

  • PDF

Thermal oxidation effect for sidewall roughness minimization of hot embossing master for polymer optical waveguides (고분자 광도파로용 핫엠보싱 마스터의 표면거칠기 최소화를 위한 열산화 영향)

  • 최춘기;정명영
    • Journal of the Korean Vacuum Society
    • /
    • v.13 no.1
    • /
    • pp.34-38
    • /
    • 2004
  • Hot embossing master is indispensable for the fabrication of polymeric optical waveguides using hot embossing technology. Sidewall roughness of silicon master is directly related to optical loss of optical waveguides In this paper, a silicon master was fabricated by using a deep-RIE process. Additionally, thermal oxidation followed by oxide removal was carried out to minimize etched Si sidewall roughness. Thermal oxidation and oxide removal were performed with $H_2O_2$ atmosphere at $1050^{\circ}C$ and $NH_4$F:HF=6:l BOE, respectively, for the oxide thickness of 400$\AA$, 1000$\AA$, 3000$\AA$, 4500$\AA$, 5600$\AA$ and 6200$\AA$. The sidewall roughness was characterized by SEM and SPM-AFH measurements. We found that the roughness was improved from 12nm (RMS) to 6nm (RMS) for the scalloped sidewall and from 162nm (RMS) to 39nm (RMS) for the vertical striation sidewall, respectively.

Simulation Design of MHEMT Power Devices with High Breakdown Voltages (고항복전압 MHEMT 전력소자 설계)

  • Son, Myung-Sik
    • Journal of the Korean Vacuum Society
    • /
    • v.22 no.6
    • /
    • pp.335-340
    • /
    • 2013
  • This paper is for the simulation design to enhance the breakdown voltage of MHEMTs with an InP-etchstop layer. Gate-recess and channel structures has been simulated and analyzed for the breakdown of the MHEMT devices. The fully removed recess structure at the drain side of MHEMT shows that the breakdown voltage enhances from 2 V to almost 4 V as the saturation current at gate voltage of 0 V is reduced from 90 mA to 60 mA at drain voltage of 2 V. This is because the electron-captured negatively fixed charges at the drain-side interface between the InAlAs barrier and the $Si_3N_4$ passivation layers deplete the InGaAs channel layer more and thus decreases the electron current passing the channel layer and thus the impact ionization in the channel become smaller. In addition, the replaced InGaAs/InP composite channel with the same thickness in the same asymmetrically recessed structure increases the breakdown voltage to 5 V due to the smaller impact ionization and mobility of the InP layer at high drain voltage.

A Study on the Polysilicon Etch Residue by XPS and SEM (XPS와 SEM을 이용한 폴리실리콘 표면에 형성된 잔류막에 대한 연구)

  • 김태형;이종완;최상준;이창원
    • Journal of the Korean Vacuum Society
    • /
    • v.7 no.3
    • /
    • pp.169-175
    • /
    • 1998
  • The plasma etching of polysilicon was performed with the HBr/$Cl_2/He-O_2$ gas mixture. The residual layers after photoresist strip were investigated using x-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). The etch residue was identified as silicon oxide deposited on the top of the patterned polysilicon. In order to clarify the formation mechanism of the etch residue, the effects of various gas mixtures such as $Cl_2/He-O_2$and HBr/$Cl_2$were investigated. We found that the etch residue is well formed in the presence of oxygen, suggesting that the etch residue is caused by the reaction of oxvgen and non-volatile silicon halide compounds. Wet cleaning and dry etch cleaning processes were applied to remove the polysilicon etch residue, which can affect the electrical characteristics and further device processes. XPS results show that the wet cleaning is suitable for the removal of the etch residue.

  • PDF

Generation of Lens surface by moving mask lithography (가변 속도 이동식 마스크를 이용한 렌즈 곡면 형성)

  • Lee Joon-Sub;Park Woo-Jae;Song Seok-Ho;Oh Cha-Hwan;Kim Pill-Soo
    • Korean Journal of Optics and Photonics
    • /
    • v.16 no.6
    • /
    • pp.508-515
    • /
    • 2005
  • We propose a fabrication method for refractive lens by variable velocity moving mask lithography and slit pattern. Distribution of exposure dose should be controlled for the curved photoresist surface that works as a refractive surface. We analyze theoretically the distribution of exposure dose by change of moving velocity, moving direction of mask and the shape of mask pattern, and confirm for the curved surface experimentally. The lens could have sag height of a few of hundreds ${\mu}m$, by using thick photoresist or Deep RIE process.

Analysis of a Novel Elevated Source Drain MOSFET with Reduced Gate-Induced Drain Leakage and High Driving Capability (Gate-Induced Drain Leakage를 줄인 새로운 구조의 고성능 Elevated Source Drain MOSFET에 관한 분석)

  • Kim, Gyeong-Hwan;Choe, Chang-Sun;Kim, Jeong-Tae;Choe, U-Yeong
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.6
    • /
    • pp.390-397
    • /
    • 2001
  • A novel self-aligned ESD (Elevated Source Drain) MOSFET structure which can effectively reduce the GIDL (Gate-Induced Drain Leakage) current is proposed and analyzed. The proposed ESD structure is characterized by sidewall spacer and recessed-channel depth which are determined by dry-etching process. Elevation of the Source/Drain extension region is realized so that the low-activation effect caused by low-energy ion implantation can be avoided. Unlike the conventional LDD structures, it is shown that the GIDL current of the ESD structure is suppressed without sacrificing the maximum driving capability. The main reason for the reduction of GIDL current Is the decreased electric field at the point of the maximum band-to-band tunneling as the peak electric field is shifted toward the drain side.

  • PDF

Experimental Study of Reactive Ion Etching of Tungsten Films Using $SF_6$ Plasma ($SF_6$플라즈마를 이용한 텅스텐 박막의 반응성이온식각에 관한 실험적 연구)

  • 박상규;서성우;이시우
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.30A no.7
    • /
    • pp.60-74
    • /
    • 1993
  • Experiments of RIE of tungsten films using SF$_{6}$ plasma were conducted to investigate the effect of process parameters on etch rate, uniformity, anisotropy, and selectivity. As power increased, the etch rate increased. Maximum etch rate was obtained at 200mtorr As interelectrode spacing increased the etch rate increased for P < 200mtorr while it decreased for P> 200mtorr. Etch rate was maximum at 20 sccm gas flow rate. As substrate temperature increased, the etch rate increased and activation energy was 0.046 eV. In addition, maximum etch rate was acquired at 20% $O_{2}$ addition. The etch rate slightly increased when Ar was added up to 20% while it continuously decreased when N$_{2}$ was added. Uniformity got improved as pressure decreased and was less than 4% for P <100mtorr. Mass spectrometer was utilized to analyze gas composition and S and F peaks were observed from XPS analysis with increasing power. The anisotropy was better for smaller power and spacing, and lower pressure and temperature. It improved when CH$_{4}$ was added and anisotropic etch profile was obtained when about 10% $O_{2}$ was added. The selectjvity was better for smaller power larger pressure and spacing, and lower temperature. Especially. low temperature processing was proposed as a novel method to improve the anisotropy and selectivity.

  • PDF