• 제목/요약/키워드: 분기 예측 정확도

검색결과 51건 처리시간 0.018초

고성능 슈퍼스칼라 프로세서를 위한 분기예측기의 설계 및 구현 (A Design and Implementation of Branch Predictor for High Performance Superscalar Processors)

  • 서정민;김귀우;이상정
    • 한국정보과학회:학술대회논문집
    • /
    • 한국정보과학회 2001년도 봄 학술발표논문집 Vol.28 No.1 (A)
    • /
    • pp.22-24
    • /
    • 2001
  • 슈퍼스칼라 프로세서에서는 분기 명령의 결과 지연으로 명령의 공급이 중단되는 것을 방지하고 지속적인 파이프라인 처리를 위해서 분기의 결과를 미리 예측하여 명령을 폐치하고 있다. 본 논문에서는 심플스칼라 툴 셋을 사용하여 슈퍼스칼라 프로세서에서 사용되는 대표적인 동적 분기예측 방법 시뮬레이션 환경을 구축한다. 동적 분기예측 방법으로 분기 타겟버퍼(Branch Target Buffer, BTB) 상에서 분기명령의 자기 히스토리에 근거한 BTB 방식과 이전 분기명령의 히스토리와의 상관관계를 고려한 Gshare 분기예측기를 적용 구현한다. 심플스칼라 시뮬레이터에 SPEC95 벤치마크 프로그램을 실행시켜 디자인 파라미터 변화에 따른 분기 예측기의 예측정확도를 실험한다. 또한 BTB와 Gshare 분기예측기를 VHDL로 구현하고 Synopsys 툴을 이용하여 시뮬레이션 및 합성 과정을 거쳐 게이트 크기와 파워 소모량을 측정한다.

적응 가능한 분기 히스토리 길이를 사용하는 분기 예측 메커니즘 (A Branch Prediction Mechanism Using Adaptive Branch History Length)

  • 조영일
    • 전자공학회논문지CI
    • /
    • 제44권1호
    • /
    • pp.33-40
    • /
    • 2007
  • 최근, 프로세서의 파이프라인 깊이와 이슈 폭이 점차로 증가함에 따라 분기예측 실패에 의한 페널티가 더욱 증가하고 있다. 분기예측 실패는 프로세서 성능을 개선하는데 가장 심각한 성능 장애 요소이다. 따라서 좀 더 정확한 분기 예측기는 최신 프로세서들에게 필수적이다. 많은 분기예측기들은 분기 명령의 주소와 고정 분기히스토리 길이로 예측을 수행한다. 최적의 분기히스토리 길이는 프로그램과 프로그램에 있는 분기 명령에 따라 달라지므로 고정 분기히스토리를 사용하는 예측기들은 잠재적 성능을 얻을 수 없다. 본 논문에서는 5개 뱅크로부터의 예측 중 가장 높은 예측정확도를 갖는 뱅크로 예측하는 가변 길이 분기 히스토리를 사용하는 분기예측 메커니즘을 제안한다. 뱅크 0는 분기 명령의 주소만을 사용하여 인덱스 하는 bimodal 예측기이고, 나머지 뱅크는 다른 히스토리 길이와 분기 명령 PC로 인덱스 하는 예측기이다. 실험결과 제안한 메커니즘은 12, 13의 고정 히스토리 길이를 사용하는 gshare보다 최대 6.34% 예측 정확도를 개선시켰고, 각 벤치마크에 대한 최적의 히스토리 길이를 사용하는 gshare와 비교해도 최대 2.3% 개선시켰다.

여행수요예측모델 비교분석 (Comparative Analysis of Travel Demand Forecasting Models)

  • 김종호
    • 한국산림과학회지
    • /
    • 제84권2호
    • /
    • pp.121-130
    • /
    • 1995
  • 미국 미시간주의 여행수요(旅行需要)를 예측(豫測)하기 위하여 사용되어진 여러 모델들의 예측정확성(豫測正確性)이 검토되었다. 8가지의 연년(連年)모델들은 2년까지 예측하는데 그리고 9가지의 분기(分期)모델들은 4분기(分期)까지 예측하는데 사용되어 졌다. 연년(連年)모델의 예측정확성(豫測正確性) 평가(評價)에서, 중회귀(重回歸)모델은 1년과 2년을 예측(豫測)하는데 있어 다른 방법들 보다 더 정확(正確)했다. 분기(分期)모델에 있어서는, Winters' exponential smoothing와 Box-Jenkins 방법이 1 분기예측(分期豫測)에 있어 naive 1 s 보다 더 정확(正確)했으나 2분기(分期), 3분기(分期), 4분기(分期)를 예측(豫測)하는데 이 방법(方法)들은 naive 1 s 보다 정확(正確)하지 않았다. 정교(精巧)한 모델들은 분기별(分期別) 예측(豫測)을 하는데 있어서 단순(單純)한 모델들보다 더 정확(正確)하지 않았다. 연년(連年)모델과 분기(分期)모델을 이용한 1년간(年間) 예측비교(豫測比較)에서, 중회귀모형(重回歸模型)은 연간자료(年間資料)보다 분기자료(分期資料)에 적용(適用)할 때 더 좋은 결과(結果)를 얻었으나 그 차이(差異)가 미약(微弱)하며 다른 모델들은 일관성(一貫性)있게 좋은 결과(結果)를 갖지 않으므로 연년(連年)모델보다 分期모델을 사용하도록 강력하게 권장할 수 없다. 연년(連年)모델은 기대(期待)하였던 것처럼 예측기간(豫測期間)이 길어짐으로서 예측정확성(豫測正確性)이 감소(減少)하였으나 분기(分期)모델은 이같은 결과(結果)를 나타내지 않았다.

  • PDF

분기 명령어의 조기 예측을 통한 예측지연시간 문제 해결 (Early Start Branch Prediction to Resolve Prediction Delay)

  • 곽종욱;김주환
    • 정보처리학회논문지A
    • /
    • 제16A권5호
    • /
    • pp.347-356
    • /
    • 2009
  • 정교한 분기 예측기의 설계는 오늘날의 프로세서 성능 향상에 중요한 역할을 하게 되었다. 분기 예측의 정확도가 더욱 더 중요해 지면서 정확도의 향상을 위한 다수의 기법들이 제안되었지만, 기존의 연구들은 예측 지연 시간을 간과하는 경향이 있었다. 본 논문에서는 예측 지연 시간 문제를 해결하고자 조기 예측 기법 (ESP, Early Start Prediction)을 제안한다. 조기 예측 기법은 분기 예측에 있어서 활용되는 분기 명령어의 주소 대신 그것과 일대일 대응이 되는 기본 블록의 시작 주소 (BB_SA, Basic Block Start Address)를 이용한다. 즉, 분기 명령어의 주소가 사용되는 기존의 환경에서, BB_SA를 활용하여 조기 예측을 시작함으로써, 예측 지연 시간을 숨긴다. 또한 제안된 기법은 짧은 간격 숨김 기법(short interval hiding technique)을 통해 보다 더 나은 성능 향상을 기대할 수 있다. 실험 결과 본 논문에서 제안된 기법은 예측 지연 시간을 줄임으로써, 예측 지연 시간이 1 사이클인 이상적인 분기 예측기의 성능에 0.25% 이내로 근접한 IPC 결과를 얻었다. 또한 기본 블록의 시작주소와 분기 명령어 사이에 짧은 간격을 가질 경우에 대한 개선 방법을 추가적으로 적용시킬 경우, 기존의 방식과 비교하여 평균 4.2%, 최대 10.1%의 IPC 향상을 가져왔다.

간단한 전역 분기 히스토리 복구 메커니즘 (Simple Recovery Mechanism for Global Branch History)

  • 깅주익;고광현;조영일
    • 한국정보과학회:학술대회논문집
    • /
    • 한국정보과학회 2005년도 한국컴퓨터종합학술대회 논문집 Vol.32 No.1 (A)
    • /
    • pp.808-810
    • /
    • 2005
  • 조건 분기예측실패는 많은 사이클을 낭비시키며, 비순서적 실행을 방해하고, 잘못 예측된 명령어들을 수행하게 되므로 전력을 낭비한다. gshare와 GAg같은 전역 히스토리를 기반으로 하는 예측기에서는 히스토리의 명령어 완료시간 갱신(commit update)에 의해 많은 분기예측실패가 발생한다. 이를 위해 히스토리를 모험적으로 갱신하고, 분기예측실패 시 히스토리를 복구시키는 메커니즘에 관한 연구들이 제시되었다. 본 논문에서는 기존 분기예측기에 age_Counter를 추가하여 미해결 분기명령어 수를 저장하며, 이를 분기예측실패 후 분기 히스토리 레지스터를 복구하는데 사용하는 간단한 복구 메커니즘을 제안한다. SimpleScalar 3.0/PISA 툴셋과 SPECINT95 벤치마크 프로그램에서 시뮬레이션 한 결과, 제안된 복구 메커니즘은 GAg와 gshare 예측기에서 예측정확도는 각각 $9.21\%$$2.14\%$가 개선되었고, IPC는 $18.08\%$$8.75\%$ 개선되었다.

  • PDF

내장형 프로세서를 위한 저전력 분기 예측기 설계 기법 (A Power-aware Branch Predictor for Embedded Processors)

  • 김철홍;송성근
    • 정보처리학회논문지A
    • /
    • 제14A권6호
    • /
    • pp.347-356
    • /
    • 2007
  • 프로세서의 파이프라인 길이가 점차 길어지고 한 사이클에 이슈되는 명령어의 수가 증가함에 따라, 분기 예측기의 정확도는 프로세서의 성능에 상당한 영향을 미치게 되었다. 또한, 내장형 프로세서를 설계하는데 있어서는 전력 효율성이 가장 중요한 설계 고려 사항 중 하나가 되었다. 그러므로, 내장형 프로세서의 분기 예측기를 설계할 때에는 성능과 전력 효율성이 함께 고려되어야 한다. 본 논문에서는 gshare 분기 예측기가 적용된 내장형 프로세서에서 선택적인 BTB (Branch Target Buffer) 접근을 가능하게 하는 저전력 분기 예측기를 제안하고자 한다. 제안하는 분기 예측기 내에서 BTB는 직전 명령어가 테이큰 (Taken) 분기로 예측되지 않는 경우에는, PHT (Pattern History Table)의 예측 결과가 테이큰인 경우에만 접근된다. PHT의 예측 결과가 테이큰인 분기 명령어의 경우에만 다음에 인출될 명령어의 주소를 BTB 접근을 통해 얻은 주소로 결정하기 때문이다. 물론, 이와 같은 선택적인 BTB 접근으로 인하여 성능 저하가 발생하는 것을 방지하기 위해 직전 명령어가 테이큰분기로 예측된 경우에는 PHT의 예측 결과에 관계없이 BTB는 항상 접근된다. 선택적인 BTB 접근을 하기 위해, 제안하는 분기 예측기 내의 PHT는 기존 분기 예측기의 PHT와 비교하여 1 사이클 일찍 접근되도록 구현한다. 1 사이클 빠른 접근을 위해 제안하는 PHT는 한 번의 접근을 통해 두 개의 예측 결과를 동시에 얻어오게 구현하고, 이를 통해 PHT의 접근 횟수도 줄임으로써 분기 예측기의 전력 소모를 줄이는 효과 또한 얻게 된다. 제안하는 분기 예측기는 하드웨어 오버헤드나 예측 정확도의 감소 없이 전력 소모를 줄일 수 있다는 장점을 가진다. 실험 결과에 따르면, 제안하는 분기 예측기는 기존의 분기 예측기와 비교하여 $35{\sim}48%$의 전력 소모를 줄이는 결과를 보인다.

Victim BTB를 활용한 히트율 개선과 효율적인 통합 분기 예측 (Improving Hit Ratio and Hybrid Branch Prediction Performance with Victim BTB)

  • 주영상;조경산
    • 한국정보처리학회논문지
    • /
    • 제5권10호
    • /
    • pp.2676-2685
    • /
    • 1998
  • 본 논문에서는 파이프라인 프로세서의 분기 명령어 처리 성능 향상을 목적으로, BTB의 미스율을 줄이고 분기 예측의 정확도를 개선하기 위해 victim cache를 활용한 2-단계 BTB 구조를 제안한다. 2-단계 BTB는 기존의 BTB에 작은 크기의 victim BTB를 추가한 구조로, 적은 비용으로 BTB 미스율을 개선하고, 동적 예측(dynamic prediction)과 정적 예측 (static prediction)이 함께 사용되는 기존의 통합 분기 예측(Hybrid Branch Prediction) 구조의 예측 정확도를 높이도록 운영된다. 본 논문에서 제안된 2-단계 BTB에 의한 성능 개선을 4개 벤치마크 프로그램에 대한 trace-driven 시뮬레이션을 통해 검증한 결과, 기존의 BTB에 비해 2.5∼8.5%의 비용 증가로 BTB 미스율이 26.5% 개선되고, 기존의 gshare에 비해 64%의 비용 증가로 예측 정확도는 26.75% 개선되었다.

  • PDF

효율적인 데이터 종속 기반의 간접 분기 예측기 (Efficient Indirect Branch Predictor Based on Data Dependence)

  • 백경호;김은성
    • 전자공학회논문지CI
    • /
    • 제43권4호
    • /
    • pp.1-14
    • /
    • 2006
  • 간접 분기 명령은 현대적인 고성능 프로세서의 ILP를 제한하는 가장 심각한 장애 요인 중 하나이다. 다른 분기 명령들과는 다르게 간접 분기는 그 타켓 주소가 동적으로 다형태로 변하기 때문에 이를 예측하기 매우 어려우며, 투기적 실행 방식을 사용하는 대부분의 현대적인 고성능 프로세서에서는 예측이 잘못되는 경우에 많은 수행 사이클 지연이 일어나게 되어 프로세서의 성능이 크게 떨어지게 된다. 우리는 예측 정확도가 아주 뛰어난 새로운 개념의 간접 분기 예측 방식 즉, 간접 분기 명령과 이와 데이터 종속 관계를 가진 이 명령어 보다 훨씬 앞서 수행되는 명령어의 레지스터 내용을 결합시켜 간접 분기의 타켓을 예측해내는 방식을 제안하였다. 1K의 예측기를 사용하는 경우에 98.92%의 예측 정확도를 보이고, 8K의 크기를 사용하면 거의 완벽한 99.95%의 정확도를 보인다. 그러나 지금까지 제안된 모든 예측기가 그러하듯이 예상 타켓 주소와 함께 앨리어싱 문제를 완화시키기 위한 태그를 저장하기 위한 하드웨어 오버헤드가 크다는 단점을 안고 있다. 그러므로 본 논문에서는 예측 정확 도의 손실없이도 예측기의 하드웨어 오버헤드를 최소한으로 줄이는 방법을 제안한다. 실험 결과로써 태그 저장에 따른 하드웨어를 성능 손실 없이 약 60%를 줄일 수 있으며, 0.1%의 손실을 감수하면 약 80%까지 줄일 수 있다. 또한 부분 타켓 저장으로 인한 성능 손실 없이 타켓 주소 저장에 따른 하드웨어를 약 35% 절약할 수 있으며, 1.11%의 손실을 감수하면 약 45%까지 절약할 수 있다.

GMDH를 이용한 전력 수요 예측 알고리즘 개발 (Development of Power Demand Forecasting Algorithm Using GMDH)

  • 이동철;홍연찬
    • 한국지능시스템학회논문지
    • /
    • 제13권3호
    • /
    • pp.360-365
    • /
    • 2003
  • 본 논문에서는 데이터의 효율적인 활용과 정확성에서 보다 우수한 특성을 보이는 GMDH(Croup Method of Data Handling) 알고리즘을 전력수요예측에 적용함으로써 입력 데이터의 선정을 용이하게 하였고, 다양한 데이터를 기반으로 보다 정확한 예측을 할 수 있게 하였다. 그리고, 예측 시에 경제적인 요인(GDP, 수출, 수입, 취업자 수, 경제활동인구, 석유소비량)과 기후적인 요인(평균기온)을 모두 고려하였다. 또한 목표 예측 기간을 1999년 1/4분기에서 2001년 1/4분기까지 9개의 분기로 가정하고, 가정한 목표 기간의 예측 정확도를 높이기 위해 3단계의 시뮬레이션 과정(최적 입력 분기 수를 결정하는 과정, 입력 데이터와 예측값의 시간적 연관성을 분석하는 과정, 입력 데이터의 최적화 과정)을 이용함으로써 더 정확한 전력수요예측 방법을 제시하였고, 제안된 기법으로 목표한 예측 기간에서 0.96%의 평균 에러율을 얻을 수 있었다.

통계적 모의실험을 이용하는 프로세서의 성능 모델 (The Processor Performance Model Using Statistical Simulation)

  • 이종복
    • 한국정보과학회논문지:시스템및이론
    • /
    • 제33권5호
    • /
    • pp.297-305
    • /
    • 2006
  • 마이크로 프로세서 구조의 성능을 분석할 때, 트레이스 구동형 모의실험이 광범위하게 수행되고 있으나, 시간과 공간을 많이 차지하기 때문에 최근에 이르러 통계적 모의실험이 그 대안으로 떠오르고 있다. 기존의 통계적 모의실험이 단일 분기 예측법에 대하여 연구가 수행된 것과 달리, 본 논문에서는 다중 분기 예측법을 이용하는 고성능 수퍼스칼라 프로세서에 대한 통계적 프로화일링 모델을 제안하였다. 이때, 다중 분기 예측법은 최근 들어 유망한 기법으로 대두되고 있는 퍼셉트론 분기 예측법을 기반으로 하였다. 이것을 위하여 SPEC 2000 벤치마크 프로그램의 특성을 통계적 프로화일링 기법으로 모델링하고, 여기서 얻은 통계적 프로화일을 바탕으로 벤치마크 트레이스를 합성하여 모의실험을 수행하였다. 그 결과, 제안하는 방식으로 다중 분기 예측을 이용하는 수퍼스칼라 프로세서에서도 비교적 높은 정확도를 얻을 수 있었다.