• 제목/요약/키워드: 반도체 플라즈마

검색결과 397건 처리시간 0.024초

Role of Features in Plasma Information Based Virtual Metrology (PI-VM) for SiO2 Etching Depth (플라즈마 정보인자를 활용한 SiO2 식각 깊이 가상 계측 모델의 특성 인자 역할 분석)

  • Jang, Yun Chang;Park, Seol Hye;Jeong, Sang Min;Ryu, Sang Won;Kim, Gon Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • 제18권4호
    • /
    • pp.30-34
    • /
    • 2019
  • We analyzed how the features in plasma information based virtual metrology (PI-VM) for SiO2 etching depth with variation of 5% contribute to the prediction accuracy, which is previously developed by Jang. As a single feature, the explanatory power to the process results is in the order of plasma information about electron energy distribution function (PIEEDF), equipment, and optical emission spectroscopy (OES) features. In the procedure of stepwise variable selection (SVS), OES features are selected after PIEEDF. Informative vector for developed PI-VM also shows relatively high correlation between OES features and etching depth. This is because the reaction rate of each chemical species that governs the etching depth can be sensitively monitored when OES features are used with PIEEDF. Securing PIEEDF is important for the development of virtual metrology (VM) for prediction of process results. The role of PIEEDF as an independent feature and the ability to monitor variation of plasma thermal state can make other features in the procedure of SVS more sensitive to the process results. It is expected that fault detection and classification (FDC) can be effectively developed by using the PI-VM.

Investigation of Ge2Sb2Te5 Etching Damage by Halogen Plasmas (할로겐 플라즈마에 의한 Ge2Sb2Te5 식각 데미지 연구)

  • Jang, Yun Chang;Yoo, Chan Young;Ryu, Sangwon;Kwon, Ji Won;Kim, Gon Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • 제18권4호
    • /
    • pp.35-39
    • /
    • 2019
  • Effect of Ge2Sb2Te5 (GST) chalcogen composition on plasma induced damage was investigated by using Ar ions and F radicals. Experiments were carried out with three different modes; the physical etching, the chemical etching, and the ion-enhanced chemical etching mode. For the physical etching by Ar ions, the sputtering yield was obtained according to ion bombarding energy and there was no change in GST composition ratio. In the plasma mode, the lowest etch rate was measured at the same applied power and there was also no plasma induced damage. In the ion-enhanced chemical etching conditions irradiated with high energy ions and F halogen radicals, the GST composition ratio was changed according to the density of F radicals, resulting in higher roughness of the etched surface. The change of GST composition ratio in halogen plasma is caused by the volatility difference of GST-halogen compounds with high energy ions over than the activation energy of surface reactions.

Improvement in Capacitor Characteristics of Titanium Dioxide Film with Surface Plasma Treatment (플라즈마 표면 처리를 이용한 TiO2 MOS 커패시터의 특성 개선)

  • Shin, Donghyuk;Cho, Hyelim;Park, Seran;Oh, Hoonjung;Ko, Dae-Hong
    • Journal of the Semiconductor & Display Technology
    • /
    • 제18권1호
    • /
    • pp.32-37
    • /
    • 2019
  • Titanium dioxide ($TiO_2$) is a promising dielectric material in the semiconductor industry for its high dielectric constant. However, for utilization on Si substrate, $TiO_2$ film meets with a difficulty due to the large leakage currents caused by its small conduction band energy offset from Si substrate. In this study, we propose an in-situ plasma oxidation process in plasma-enhanced atomic layer deposition (PE-ALD) system to form an oxide barrier layer which can reduce the leakage currents from Si substrate to $TiO_2$ film. $TiO_2$ film depositions were followed by the plasma oxidation process using tetrakis(dimethylamino)titanium (TDMAT) as a Ti precursor. In our result, $SiO_2$ layer was successfully introduced by the plasma oxidation process and was used as a barrier layer between the Si substrate and $TiO_2$ film. Metal-oxide-semiconductor ($TiN/TiO_2/P-type$ Si substrate) capacitor with plasma oxidation barrier layer showed improved C-V and I-V characteristics compared to that without the plasma oxidation barrier layer.

Study on Thermal Properties and Plasma Resistance of MgO-Al2O3-SiO2 Glass (MgO-Al2O3-SiO2계 유리의 열물성과 내플라즈마성 연구)

  • Yoon, Ji Sob;Choi, Jae Ho;Jung, YoonSung;Min, Kyung Won;Im, Won Bin;Kim, Hyeong-Jun
    • Journal of the Semiconductor & Display Technology
    • /
    • 제20권2호
    • /
    • pp.61-66
    • /
    • 2021
  • In this study, we studied the alternative of plasma resistant ceramic parts that constitute plasma chambers in the semiconductor dry etching process. MgO-Al2O3-SiO2(MAS) glass was made of 13 types of glass using the Design Of Experiments(DOE) and the effect on thermal properties such as glass transition temperature and crystallization temperature depending on the content of each composition and etching resistance to CF4/O2/Ar plasma gas. MAS glass showed excellent plasma resistance and surface roughness up to 20 times higher than quartz glass. As the content of Al2O3 and MgO increases, the plasma resistance is improved, and it has been confirmed that it has an inverse relationship with SiO2.

Analysis of Si Etch Uniformity of Very High Frequency Driven - Capacitively Coupled Ar/SF6 Plasmas (VHF-CCP 설비에서 Ar/SF6 플라즈마 분포가 Si 식각 균일도에 미치는 영향 분석)

  • Lim, Seongjae;Lee, Ingyu;Lee, Haneul;Son, Sung Hyun;Kim, Gon-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • 제20권4호
    • /
    • pp.72-77
    • /
    • 2021
  • The radial distribution of etch rate was analyzed using the ion energy flux model in VHF-CCP. In order to exclude the effects of polymer passivation and F radical depletion on the etching. The experiment was performed in Ar/SF6 plasma with an SF6 molar ratio of 80% of operating pressure 10 and 20 mTorr. The radial distribution of Ar/SF6 plasma was diagnosed with RF compensated Langmuir Probe(cLP) and Retarding Field Energy Analyzer(RFEA). The radial distribution of ion energy flux was calculated with Bohm current times the sheath voltage which is determined by the potential difference between the plasma space potential (measured by cLP) and the surface floating potential (by RFEA). To analyze the etch rate uniformity, Si coupon samples were etched under the same condition. The ion energy flux and the etch rate show a close correlation of more than 0.94 of R2 value. It means that the etch rate distribution is explained by the ion energy flux.

An Algorithm Study to Detect Mass Flow Controller Error in Plasma Deposition Equipment Using Artificial Immune System (인공면역체계를 이용한 플라즈마 증착 장비의 유량조절기 오류 검출 실험 연구)

  • You, Young Min;Jeong, Ji Yoon;Ch, Na Hyeon;Park, So Eun;Hong, Sang Jeen
    • Journal of the Semiconductor & Display Technology
    • /
    • 제20권4호
    • /
    • pp.161-166
    • /
    • 2021
  • Errors in the semiconductor process are generated by a change in the state of the equipment, and errors usually arise when the state of the equipment changes or when parts that make up the equipment have flaws. In this investigation, we anticipated that aging of the mass flow controller in the plasma enhanced chemical vapor deposition SiO2 thin film deposition method caused a minute flow rate shift. In seven cases, fourier transformation infrared film quality analysis of the deposited thin film was used to characterize normal and pathological processes. The plasma condition was monitored using optical emission spectrometry data as the flow rate changed during the procedure. Preprocessing was used to apply the collected OES data to the artificial immune system algorithm, which was then used to process diagnosis. Through comparisons between datasets, the learning algorithm compared classification accuracy and improved the method. It has been confirmed that data characterized as a normal process and abnormal processes with differing flow rates may be discriminated by themselves using the artificial immune system data mining method.

CF4/O2/Ar Plasma Resistance of Al2O3 Free Multi-components Glasses (Al2O3 Free 다성분계 유리의 CF4/O2/Ar 내플라즈마 특성)

  • Min, Kyung Won;Choi, Jae Ho;Jung, YoonSung;Im, Won Bin;Kim, Hyeong-Jun
    • Journal of the Semiconductor & Display Technology
    • /
    • 제21권3호
    • /
    • pp.57-62
    • /
    • 2022
  • The plasma resistance of multi-component glasses containing La, Gd, Ti, Zn, Y, Zr, Nb, and Ta was analyzed in this study. The plasma etching was performed via inductively coupled plasma-reactive ion etching (ICP-RIE) using CF4/O2/Ar mixed gas. After the reaction, the glass with a low fluoride sublimation temperature and high content of P, Si, and Ti elements showed a high etching rate. On the other hand, the glass containing a high fluoride sublimation temperature component such as Ca, La, Gd, Y, and Zr exhibited high plasma resistance because the etch rate was lower than that of sapphire. Glass with low plasma resistance increased surface roughness after etching or nanoholes were formed on the surface, but glass with high plasma resistance showed little change in surface microstructure. Thus, the results of this study demonstrate the potential for the development of plasma-resistant glasses (PRGs) with other compositions besides alumino-silicate glasses, which are conventionally referred to as plasma-resistant glasses.

대기압 유전체 배리어 방전을 이용한 폴리머 박막의 증착과 특성 분석에 대한 연구

  • Kim, Gi-Taek;Suzaki, Yoshifumi;Kim, Yun-Gi
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 한국재료학회 2011년도 추계학술발표대회
    • /
    • pp.38.2-38.2
    • /
    • 2011
  • 폴리머 박막은 그 고유한 특성으로 인해 여러 산업적으로 널리 사용되고 있는 재료이다 예로 의약품이나 식품 포장지의 배리어, 전자부품의 절연체, 반도체 공정에서의 사용, 혹은 부식방지를 위해 사용 되어지기도 한다. 이 폴리머 박막을 증착 하기 위한 방법으로 이전부터 CVD (Chemical Vapor Deposition) 방법이 많이 사용되었고 지금까지도 가장 많이 사용되는 방법이다. CVD를 사용하여 $SiO_2$-like 필름의 증착은 전구체(precursor)로 Silane ($SiH_4$)을 사용하였으며, 플라즈마 발생 소스(source)로 열 혹은 전기장 등을 사용 하며 공정 시 압력 또한 대부분 저압 하에서 실시 하였다. 이와 같은 이전 CVD 방법의 문제는 사용되는 Silane 자체가 인체에 해로울 정도로 독성이 있으며 폭발성도 같이 가지고 있어 작업환경의 위험성이 높으며 열을 사용한 CVD의 경우 높은 공정 온도로 인해 증착 할 수 있는 대상이 제한 되어 지며 높은 열의 발생을 위해 많은 에너지의 소비가 필요하다. 저압 플라즈마를 사용한 CVD 는 공정상 높은 열의 발생이 일어나지 않아 기판 운용상 문제가 되지 않지만 저압 환경에서 해당 공정이 이루어기 때문에 인해 필수적으로 고가의 진공 챔버가 필수적이며 저압을 유지할 고가의 진공 펌프나 추가 장비들이 필요하게 된다, 또한 챔버 내에서 이루어지는 공정으로 인해 공정의 연속성이 떨어져 시잔비용 또한 많이 잡아 먹는다. 이러한 열 혹은 저압 플라즈마등을 사용한 공정의 단점을 해결하기 위해 여러 연구자들이 다양한 방법을 통해 연구를 하였다. 대기압 유전체 배리어 방전(AP-DBD: Atmospheric Pressure-Dielectric Barrier Discharge)을 사용한 폴리머 박막의 증착은 이전 전통적인 방법에 비해 낮은 장비 가격과 낮은 공정 온도 그리고 연속적인 공정 등의 장점이 있는 폴리머 박막 증착 방법 이다. 대기압 유전체 배리어 방전 공정 변수로 공급 전압 및 주파수 그리고 공급 전압의 영향, 전구체를 유전체 배리어 방전 전극으로 이동 시키기 위해 사용된 캐리어 가스의 종류 및 유량, 화학양론적 계수를 맞추기 위해 같이 포함되는 산소 가스의 유량, DBD 전극의 형태에 따른 증착 박막의 균일성 등 이 존재하며 이런 많은 변수 들에 대한 연구가 진행 되었지만 아직 이 대기압 DBD를 이용한 폴리머 박막의 증착에 대한 명확한 이해는 아직 완전 하다 할 수 없다. 본 연구에서는 이러한 대기압 DBD를 이용하여 폴리머 박막의 증착시 영향을 미치는 많은 공정 변수 등이 박막생성에 미치는 영향과 증착된 박막의 성질에 대한 연구를 진행 하였다.

  • PDF

생체모방 복합 눈 구조를 이용한 갈륨비소 반사방지막 제작

  • Lee, Su-Hyeon;Im, Jeong-U;Go, Yeong-Hwan;Jeong, Gwan-Su;Yu, Jae-Su
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.412-412
    • /
    • 2012
  • 갈륨비소(GaAs)는 수직공진표면방출레이저, 발광다이오드, 태양전지 등과 같은 광전소자에 널리 사용되는 물질이다. 그러나 높은 굴절률을 갖는 갈륨비소는 표면에서 30% 이상의 반사율을 갖기 때문에 광손실로 인해 소자의 성능이 저하된다. 따라서 표면 Fresnel 반사율을 낮출 수 있는 효율적인 반사방지막이 필요하다. 최근, 열적 불일치, 물질 선택, 접착력 저하의 단점을 가지고 있는 기존 다중박막을 대체하는 생체모방 서브파장 나노구조가 활발히 연구되고 있다. 이러한 구조는 공기(air)부터 갈륨비소까지 선형적인 유효굴절률 분포를 갖는 유효 단일박막과도 같기 때문에 소자 표면에서의 광손실을 줄일 수 있다. 더욱이, 자연계의 나방의 각막과 나비의 눈의 구조 형태를 모방한 반도체 생체모방 복합 눈(compound eye)은, 즉 마이크로 렌즈모양과 서브파장 나노격자구조의 복합적 형태, 표면에서 우수한 반사방지 특성을 나타낸다. 본 연구에서는, 포토리소그래피와 유도결합플라즈마 식각법을 이용하여 GaAs 기판 표면에 마이크로 렌즈 모양의 패턴을 형성한 후, 스핀코팅을 이용하여 나노 크기를 갖는 실리카 구를 도포하여 건식 식각함으로써 복합 눈 구조를 갖는 갈륨비소 반사방지막을 제작하였다. 제작된 샘플의 표면 및 식각 형상은 전자현미경(scanning electron microscope)을 사용하여 관찰하였으며, UV-vis-NIR spectrophotometer를 사용하여 반사율을 측정하였다.

  • PDF

Plasma characterization of a mesh separated dual plasma source by L-probe and QMS

  • Kim, Dong-Hun;Choe, Ji-Seong;Kim, Seong-Bong;Park, Sang-Jong;Ju, Jeong-Hun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 한국진공학회 2015년도 제49회 하계 정기학술대회 초록집
    • /
    • pp.156.2-156.2
    • /
    • 2015
  • 반도체 소자의 크기가 나노사이즈로 줄어들기 때문에, 건식식각의 중요성이 강조되고 있다. dual plasma source를 사용함으로써 plasma 밀도, 이온충돌에너지, 이온플럭스를 조절 가능하다. Low frequency로 이온에너지를 조절하고, high frequency로 이온플럭스를 일반적으로 조절한다. 본 연구는 inductively coupled plasma (ICP)와 capacitively coupled plasma (CCP)를 사용하는 dual plasma source이다. ICP는 AE RPS로 2.4 MHz를 사용하고, CCP는 AE RFX-600으로 13.56 MHz이다. single L-probe는 Hiden ESPion이고, quadrupole mass spectrometer (QMS)는 INFICON CPM-300이다. chuck에 CCP가 인가되고, ICP는 SUS mesh를 거쳐서 영향을 미친다. Gas는 Ar, Ar+CF4 두 조건에서 비료를 하였다. Single L-probe를 이용하여 플라즈마를 측정한 결과 CCP만 인가하였을 때, Te 2.05 eV, Ne 4.07E+10 #/cm3, Ni 5.82E+10 #/cm3의 결과를 얻을 수 있었다. ICP를 방전하고 mesh를 통해서 chuck으로 입사하는 이온을 측정한 결과 mesh에 의해 이온이 중성화되어 거의 입사하지 않음을 확인할 수 있었다. 최종적으로 이온의 영향이 상쇄되고, 라디칼의 영향이 증가하여 높은 etch rate와 선택비를 가지며, 등방성 식각의 영향이 커질 것으로 사료된다.

  • PDF