• Title/Summary/Keyword: 메모리효과

Search Result 686, Processing Time 0.029 seconds

A Study on Runtime Address Sanitizer for Bootloader Emulation (부트로더 에뮬레이션 내 런타임 메모리 오류 탐지 기술 연구)

  • Cheolwoo Myung;Byoungyoung Lee
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2024.05a
    • /
    • pp.202-204
    • /
    • 2024
  • 메모리 오류는 소프트웨어 개발 과정에서 발생할 수 있는 가장 일반적이면서도 치명적인 문제 중 하나다. 이러한 문제를 효과적으로 탐지하고 수정하기 위해, 개발자들은 다양한 메모리 오류 탐지 도구를 활용한다. 그러나, 이 기술들은 소스 코드가 필요하다는 중대한 제약이 있다. 특히 임베디드 시스템의 개발 과정에서는 종종 소스 코드 대신 컴파일된 바이너리 형태로만 펌웨어가 제공되곤 한다. 이러한 배경을 바탕으로, 본 연구는 임베디드 환경에서 발생할 수 있는 메모리 오류를 실시간으로 탐지하기 위한 새로운 접근 방식을 제안한다. 이를 위해, Dynamic ASan 이라는 기술을 QEMU 가상화 기술에 적용함으로써, 메모리 접근 시 메모리 안정성을 지속적으로 검증하는 시스템을 구축하였다. 이러한 접근 방식은 임베디드 시스템의 안정성과 보안을 개선하는 데 중요한 기여를 할 수 있다.

Memory Management based Hybrid Transactional Memory Scheme for Efficiently Processing Transactions in Multi-core Environment (멀티코어 환경에서 효율적인 트랜잭션 처리를 위한 메모리 관리 기반 하이브리드 트랜잭셔널 메모리 기법)

  • Jang, Yeon-Woo;Kang, Moon-Hwan;Chang, Jae-Woo
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2017.04a
    • /
    • pp.795-798
    • /
    • 2017
  • 최근 멀티코어 프로세서가 개발됨에 따라 병렬 프로그래밍은 멀티코어를 효과적으로 활용하기 위한 기법으로 그 중요성이 높아지고 있다. 트랜잭셔널 메모리는 처리 방식에 따라 HTM, STM, HyTM으로 구분되며, 최근 HTM 및 STM 결합한 HyTM 이 활발히 연구되고 있다. 그러나 기존의 HyTM 는 HTM과 STM의 동시성 제어를 위해 블룸필터를 사용하는 반면, 블룸필터의 자체적인 긍정 오류를 해결하지 못한다. 아울러, 트랜잭션 처리를 위한 메모리 할당/해제를 기존의 락 메커니즘을 사용하여 관리한다. 따라서 멀티코어 환경에서 스레드 수가 증가할수록 트랜잭션 처리 효율이 떨어진다. 본 논문에서는 멀티코어 환경에서 효율적인 트랜잭션 처리를 위한 메모리 관리 기반 하이브리드 트랜잭셔널 메모리 기법을 제안한다. 제안하는 기법은 트랜잭션 처리에 최적화된 블룸필터를 제공함으로써, 병렬적으로 동시에 수행되는 서로 다른 환경의 트랜잭션에 대해 일관성 있는 처리를 지원한다. 아울러, CPU 캐시라인에 최적화된 메모리 기법을 통해, 메모리 할당량이 적은 트랜잭션은 로컬 캐시에 할당함으로써 트랜잭션의 빠른 처리를 지원한다.

A Simple and Efficient Antialiasing Method with the RUF buffer (RUF 버퍼를 이용한 간단하고 효율적인 안티알리아싱 기법)

  • 김병욱;박우찬;양성봉;한탁돈
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.30 no.3_4
    • /
    • pp.205-212
    • /
    • 2003
  • In this paper, we propose a simple and efficient hardware-supported antialiasing algorithm and its rendering scheme. The proposed method can efficiently reduce the required memory bandwidth as well as memory size compared to a conventional supersampling when rendering 3D models. In addition, it can provide almost the same high quality scenes as supersampling does. In this paper, we have introduced the RUF (Recently Used Fragment) buffer that stores some or whole parts of a fragment or two more the merged results of fragments that recently used in color calculation. We have also proposed a color calculation algorithm to deteriorate the image quality as referencing the RUF buffer. Because of the efficiency presented in the proposed algorithm, the more number of sampling points increases the more memory saving ratio we can gain relative to the conventional supersampling. In our simulation, the proposed method can reduce the amount of memory size by 31% and the memory bandwidth by 11% with a moderate pixel color difference of 1.3% compared to supersampling for 8 sparse sampling points.

Write-once-read-many-times (WORM) 특성을 갖는 유기물 나노 복합체 플렉서블 메모리 소자의 전하 수송 메커니즘과 메모리 효과에 대한 분석

  • Song, U-Seung;Yun, Dong-Yeol;Kim, Tae-Hwan
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.380-380
    • /
    • 2012
  • 유기물 나노 복합체는 고집적/저전력/플렉서블 특성을 가지는 초고효율 비휘발성 메모리 소자를 제작하는데 많은 이점을 가지고 있어, 차세대 비휘발성 메모리 소자에 사용되는 소재로 매우 각광받고 있다. 그 중, WORM 특성을 가지는 메모리 소자는 1회 쓰기 후 수많은 읽기가 가능하기 때문에, 그 효율성이 매우 뛰어나 이목을 끌고 있다. 유기물 나노 복합체 중에서, poly(3-hexylthiophene) (P3HT)는 화학적/전기적 안정성과 전하의 이동도 특성이 뛰어나기 때문에 전자 소자에 응용하려는 연구가 활발히 진행되고 있다. 본 연구에서는 $P_3HT$ 고분자를 polymethylmethacrylate(PMMA) 고분자에 분산시킴으로써, 상태를 기억하는 저장 매체로 사용하였다. 본 연구의 소자를 제작하기 위하여 약 9 : 1 비율을 가지는 PMMA 와 $P_3HT$를 용매인 클로로벤젠에 녹여 용액을 준비하였다. Indium Tin Oxide (ITO)가 코팅된 glass를 화학적 처리를 통해 청결하게 만든 후, PMMA와 $P_3HT$가 용해되어 있는 용액을 스핀 코팅 방법으로 박막을 형성하였다. PMMA 속에 $P_3HT$가 분산되어 있는 활성층 위에 상부 전극으로 Al을 열 증착 방식을 통하여 형성하였다. 제작된 WORM 특성을 갖는 유기물 나노 복합체 플렉서블 소자의 메모리 효과에 대한 분석을 위하여, -5V에서 5V까지 전압을 인가하여 전류-전압 특성을 측정하였다. 초기 낮은 전도도 (OFF 상태, 10-10A에서 10-4A)를 유지하다가, 쓰기 전압을 1회 가해준 후부터는 높은 전도도 (ON 상태, 10-5A 에서 10-2A)를 유지하는 특성을 관측하였다. 또한 WORM 특성을 갖는 메모리 소자로써의 능력을 보여주기 위하여, 1회 쓰기 전압 후 읽기 전압인 1V를 인가하여 높은 전도도 상태에 대한 상태 유지 능력을 측정하였고, 전하 수송 메커니즘을 규명하기 위하여 피팅 모델을 통해 설명하였다.

  • PDF

Dynamic Voltage and Frequency Scaling based on Buffer Memory Access Information (버퍼 메모리 접근 정보를 활용한 동적 전압 주파수 변환 기법)

  • Kwak, Jong-Wook;Kim, Ju-Hwan
    • Journal of the Korea Society of Computer and Information
    • /
    • v.15 no.3
    • /
    • pp.1-10
    • /
    • 2010
  • As processor platforms are continuously moving toward wireless mobile systems, embedded mobile processors are expected to perform more and more powerful, and therefore the development of an efficient power management algorithm for these battery-operated mobile and handheld systems has become a critical challenge. It is well known that a memory system is a main performance limiter in the processor point of view. Although many DVFS studies have been considered for the efficient utilization of limited battery resources, recent works do not explicitly show the interaction between the processor and the memory. In this research, to properly reflect short/long-term memory access patterns of the embedded workloads in wireless mobile processors, we propose a memory buffer utilization as a new index of DVFS level prediction. The simulation results show that our solution provides 5.86% energy saving compared to the existing DVFS policy in case of memory intensive applications, and it provides 3.60% energy saving on average.

Effect of Annealing Temperature on the Operation of Phase-Change Memory (상변화 메모리 소자 동작 특성에 미치는 열처리 온도 효과)

  • Lee, Seung-Yun;Park, Young-Sam
    • Journal of the Korean Vacuum Society
    • /
    • v.19 no.2
    • /
    • pp.155-160
    • /
    • 2010
  • The effect of process temperature of a final annealing step in the fabrication of phase change memory (PCM) devices was investigated. Discrete PCM devices employing $Ge_2Sb_2Te_5$ (GST) films as an active element were made in a pore-style configuration, and they were annealed at various temperatures ranging from 160 to $300^{\circ}C$. The behaviors of cell resistance change from SET resistance to RESET resistance were totally different according to the annealing temperatures. There was a critical annealing temperature for the fabrication of normal PCM devices and abnormal operations were observed in some devices annealed at temperatures lower or higher than the critical temperature. Those influences of annealing temperature seem closely related to the thermal stability of a top electrode/GST/heating layer multilayer structure in the PCM devices.

Compensation of the Nonlinearity of the High-Power Amplifiers with Memory Using a Digital Feedforward Scheme (디지털 피드포워드 방식을 이용한 메모리 효과가 있는 전력 증폭기의 비선형성 보상)

  • Kim, Min;Shin, Ha-Yeon;Eun, Chang-Soo
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.49 no.4
    • /
    • pp.9-17
    • /
    • 2012
  • In this paper, we show the memory effect of the high-power amplifiers for wied-band signals, present a compensation method for the nonlinearity combined with memory effect, and analyze its performance. For the modeling and the compensation of the nonlinear high-power amplifier with memory effect, we investigate the Volterra series model, the Wiener model, and the Hammerstein model. As a compensator scheme, we propose a digital feedforward technique. Compared to analog feed-forward scheme, the proposed scheme has better stability and adaptability to the environmental changes. It has a simpler structure than the conventional digital nonlinear compensation schemes. The result of computer simulations using ADS of the Agilent shows that spectral re-growth is suppressed by more than 20 dB, which amounts to at least 10 dB back-off. Considering the compensation performance, implementation complexity, and convergence rate, we could conclude the Wiener model is most suitable for the proposed scheme.

A Study on Exteded Binary Search Tree Algorithms Considering Cache Effect (캐쉬 효과를 고려한 확장된 이진 탐색 트리 알고리즘에 관한 연구)

  • 김경훈;정균락
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2000.10a
    • /
    • pp.551-553
    • /
    • 2000
  • VLSI 기술의 발전에 따라 프로세서의 속도는 빠르게 증가하고 있는 반면 메모리의 속도는 이를 뒷받침하지 못하여 속도의 차이를 줄이기 위해 캐쉬(cache) 메모리를 사용하고 있다. 캐쉬가 알고리즘의 실행시간에 미치는 영향이 점점 더 커지고 있으나 이제까지 개발된 대부분의 알고리즘들은 이러한 캐쉬의 중요성을 고려하지 않고 개발되었다. 본 논문에서는 캐쉬 효과를 고려한 확장된 이진 탐색 트리 알고리즘에 관해 연구하였고, 실험을 통하여 기존의 이진 탐색 트리와 제안된 알고리즘의 성능을 비교하였다.

  • PDF

Extended Pairing Heap Algorithms Considering Cache Effect (캐쉬 효과를 고려한 확장된 Pairing Heap 알고리즘)

  • 김경훈;정균락
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2001.10a
    • /
    • pp.580-582
    • /
    • 2001
  • VLSI 기술의 발전에 따라 프로세서의 속도는 빠르게 증가하고 있는 반면 메모리의 속도는 이를 뒷받침하지 못하여 속도의 차이를 줄이기 위해 캐쉬(cache) 메모리를 사용하고 있다. 캐쉬가 알고리즘의 실행시간에 미치는 영향이 점점 더 커지고 있으나 이제가지 개발된 대부분의 알고리즘들은 이러한 캐쉬의 중요성을 고려하지 않고 개발되었다. 본 논문에서는 캐쉬 효과를 고려한 확장된 Pairing Heap 알고리즘에 관해 연구하였고, 실험을 통하여 기존의 Pairing Heap 알고리즘과 제안된 알고리즘의 성능을 비교하였다.

  • PDF

Parallel Contour Ranking in a Distributed-Memory Machine (분산메모리 머신에서의 병렬 윤곽선 랭킹)

  • 정용화;박진원
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 1998.10a
    • /
    • pp.741-743
    • /
    • 1998
  • 본 논문에서는 분산메모리 머신에서 병렬 이미지 윤곽선 랭킹 문제를 해결하는 새로운 알고리즘을 제안한다. 윤곽선 랭킹 문제는 주어진 이미지의 에지 윤곽선으로부터 에지 윤곽선의 선형적 표현 방식을 생성시키는 것으로, 에지점간의 순차적인 데이터 종속관계를 갖는 이 문제를 분산메모리 머신에서 수행하려면 입력 이미지에 의한 데이터의 불균형 분포와 불규칙적인 프로세서간 데이터 종속 문제를 해결해야 한다. 본 논문에서는 이 두 가지 문제를 동시에 해결할 수 있는 병렬 알고리즘을 제안하고, 제안된 알고리즘을 IBM SP2에 구현하였으며, 그 결과 윤곽선 랭킹 문제가 효과적으로 해결되었음을 확인하였다.

  • PDF