DOI QR코드

DOI QR Code

Complementary FET로 열어가는 반도체 미래 기술

Complementary FET-The Future of the Semiconductor Transistor

  • 김상훈 (차세대반도체소자연구실) ;
  • 이성현 (차세대반도체소자연구실) ;
  • 이왕주 (차세대반도체소자연구실) ;
  • 박정우 (차세대반도체소자연구실) ;
  • 서동우 (소재부품연구본부)
  • S.H. Kim ;
  • S.H. Lee ;
  • W.J. Lee ;
  • J.W. Park ;
  • D.W. Suh
  • 발행 : 2023.12.01

초록

With semiconductor scaling approaching the physical limits, devices including CMOS (complementary metal-oxide-semiconductor) components have managed to overcome yet are currently struggling with several technical issues like short-channel effects. Evolving from the process node of 22 nm with FinFET (fin field effect transistor), state-of-the-art semiconductor technology has reached the 3 nm node with the GAA-FET (gate-all-around FET), which appropriately addresses the main issues of power, performance, and cost. Technical problems remain regarding the foundry of GAA-FET, and next-generation devices called post-GAA transistors have not yet been devised, except for the CFET (complementary FET). We introduce a CFET that spatially stacks p- and n-channel FETs on the same footprint and describe its structure and fabrication. Technical details like stacking of nanosheets, special spacers, hetero-epitaxy, and selective recess are more thoroughly reviewed than in similar articles on CFET fabrication.

키워드

과제정보

본 연구는 한국전자통신연구원 내부연구과제(기초연구)의 일환으로 수행되었음[23ZB1310 듀플렉스 반도체 아키텍처 원천기술 개발].

참고문헌

  1. G.E. Moore, "Cramming more components onto integrated circuits," Electronics, vol. 38, no. 8, 1965.
  2. https://semiconductor.samsung.com/
  3. https://www.techinsights.com/
  4. https://irds.ieee.org/editions/2022
  5. H. Jagannathan et al., "Vertical-transport nanosheet technology for CMOS scaling beyond lateral-transport devices," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2021, pp. 26.1.1-26.1.4.
  6. G. Tsutsui et al., "Hardware based performance assessment of vertical-transport nanosheet technology," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2022, pp. 819-822.
  7. P. Weckx et al., "Novel forksheet device architecture as ultimate logic scaling device towards 2nm," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2019, p. 8993635.
  8. H. Mertens et al., "Forksheet FETs with bottom dielectric isolation, self-aligned gate cut, and isolation between adjacent source-drain structures," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2022, pp. 555-558.
  9. C.-Y. Yang et al., "First demonstration of heterogeneous L-shaped field effect transistor(LFET) for angstrom technology nodes,"in Proc. IEDM, (San Francisco, CA, USA), Dec. 2022, pp. 475-478.
  10. J. Ryckaert et al., "The complementary FET(CFET) for CMOS scaling beyond N3," in Proc. IEEE Symp. VLSI Tech., (Honolulu, HI, USA), June 2018, pp. 141-142.
  11. B. Vincent et al., "A benchmark study of complementary-field effect transistor(CFET) process integration options done by virtual fabrication," IEEE J. Electron Devices Soc., vol. 8, 2020, pp. 668-673. https://doi.org/10.1109/JEDS.2020.2990718
  12. L. Jiang et al., "Complementary FET for advanced technology nodes: Where does it stand?," in Proc. SISPAD, (Dallas, TX, USA), Sept. 2021, pp. 11-14.
  13. L. Jiang et al., "Complementary FET device and circuit level evaluation using fin-based and sheet-based configurations targeting 3nm node and beyond," in Proc. SISPAD, (Kobe, Japan), Sept. 2020, pp. 323-326.
  14. S.-G. Jung et al., "Performance analysis on complementary FET (CFET) relative to standard CMOS with nanosheet FET," IEEE J. Electron Devices Soc., vol. 10, 2022, pp. 78-82. https://doi.org/10.1109/JEDS.2021.3136605
  15. S. Lee et al., "Design of JL-CFET(junctionless complementary field effect transistor)-based inverter for low power applications," Semicond. Sci. Technol., vol. 37, no. 3, 2022, pp. 1-8. https://doi.org/10.1088/1361-6641/ac41e6
  16. B. Sun et al., "Analytical model of CFET parasitic capacitance for advanced technology nodes," IEEE Trans. Electron. Devices, vol. 69, no. 3, 2022, pp. 936-941. https://doi.org/10.1109/TED.2022.3144648
  17. P. Schuddinck et al., "PPAC of sheet-based CFET configurations for 4 track design with 16nm metal pitch," in Proc. VLSI Technol. Circuits, (Honolulu, HI, USA), June 2022, pp. 365-366.
  18. J. Li et al., "Performance comparison of vertically stacked nanosheet CFET and standard CMOS without and with parasitic channels," Microelectron. Eng., vol. 279, 2023, pp. 1-6.
  19. L. Brunet et al., "First demonstration of a CMOS over CMOS 3D VLSI CoolCubeTM integration on 300mm wafers," in Proc. IEEE Symp. VLSI Tech., (Honolulu, HI, USA), June 2016, p. 7573428.
  20. A. Vandooren et al., "3-D sequential stacked planar devices featuring low-temperature replacement metal gate junctionless top devices with improved reliability," IEEE Trans. Electron. Devices, vol. 65, no. 11, 2018, pp. 5165-5171. https://doi.org/10.1109/TED.2018.2871265
  21. W. Rachmady et al., "300mm heterogeneous 3D integration of record performance layer transfer germanium PMOS with silicon NMOS for low power high performance logic applications," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2019.
  22. S.K. Kwang et al., "Heterogeneous 3D Sequential CFET with Ge(110) Nanosheet p-FET on Si(100) bulk n-FET by Direct Wafer Bonding," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2022, pp. 471-474.
  23. A. Vandooren et al., "Demonstration of 3D sequential FD-SOI on CMOS FinFET stacking featuring low temperature Si layer transfer and top tier device fabrication with tier interconnections," in Proc. VLSI Tech. Circuits, (Honolulu, HI, USA), June 2022, pp. 330-331.
  24. C.-Y. Huang et al., "3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore's Law Scaling," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2020, pp. 20.6.1-20.6.4.
  25. S. Subramanian et al., "First monolithic integration of 3d complementary fet (cfet) on 300mm wafers," in Proc. IEEE Symp. VLSI Tech., (Honolulu, HI, USA), June 2020, article no. 9265073.
  26. Chien-Te Tu et al., "First demonstration of monolithic 3D self-aligned GeSi channel and common gate complementary FETs by CVD epitaxy using multiple P/N junction isolation," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2022, pp. 20.3.1-20.3.4.
  27. H. Mertens et al., "Nanosheet-based complementary field-effect transistors(CFETs) at 48nm gate pitch, and middle dielectric isolation to enable CFET inner spacer formation and multi-vt patterning," in Proc. VLSI Tech. Circuits, (Kyoto, Japan), June 2023, pp. T1-3.
  28. S.-J. Choi et al., "Dislocation sink annihilating threading dislocations in strain-relaxed Si1-xGex layer," Nanotechnology, vol. 31, no. 12, 2020, p. 12LT01.
  29. Kookjin Lee et al., "Defect spectroscopy of sidewall interfaces in gate-all-around silicon nanosheet FET," Nanotechnology, vol. 32, no. 16, 2021, p. 165202.
  30. Yi-Chun Liu et al., "Highly stacked GeSi nanosheets and nanowires by low-temperature epitaxy and wet etching," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2021, pp. 6599-6604.
  31. C.-L. Chu et al., "Ge/Si multilayer epitaxy and removal of dislocations from Ge-nanosheet-channel MOSFETs," Scientific Reports, vol. 12, 2022.
  32. J. Bogdanowicz et al., "Spectroscopy: A new route towards critical-dimension metrology of the cavity etch of nanosheet transistors," in Proc. SPIE Adv. Lithography, (Online Only), Feb. 2021, p. 116111Q.
  33. Y. Zhao, et al., "Formation mechanism of a rounded SiGe-Etch-Front in an isotropic dry SiGe etch pocess for Gate-All-Around(GAA)-FETs," in Proc. EDTM, (Chengdu, China), Apr. 2021.
  34. G. Santoro et al., "Recess metrology challenges for 3D device architectures in advanced technology nodes," in Proc. SPIE Adv. Lithography + Patterning, (San Jose, CA, USA), 2022, article no. 120530L.
  35. E. Liu et al., "Study of selective dry etching Effects of 15-Cycle Si0.7Ge0.3/Si multilayer structure in Gate-All-Around transistor process," Nanomaterials, vol. 13, no. 14, 2023.
  36. S. Mukesh and J. Zhang, "A Review of the Gate-All-Around nanosheet FET process opportunities," Electronics, vol. 11, no. 21, 2022, p. 3589.
  37. H. Arimura et al., "Molybdenum nitride as a scalable and thermally stable pWFM for CFET," in Proc. VLSI Tech. Circuits, (Kyoto, Japan), June 2023, pp. 1-2.
  38. C. Zhao and J. Xiang, "Atomic layer deposition (ALD) of metal gates for CMOS," Appl. Sci., vol. 9, no. 11, 2019, article no. 2388.
  39. H. Mertens et al., "Vertically stacked gate-all-around Si nanowire CMOS transistors with dual work function metal gates," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2017, pp. 19.7.1-19.7.4.
  40. H. Mertens et al., "Nanosheet-based Complementary Field-Effect Transistors(CFETs) at 48nm Gate Pitch, and middle dielectric isolation to enable CFET inner spacer formation and Multi-Vt patterning," in Proc. VLSI Tech. Circuits, (Kyoto, Japan), June 2023, pp. 1-2.
  41. J. Zhang et al., "High-k metal gate fundamental learning and multi-Vt options for stacked nanosheet gate-all-around transistor," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2017, pp. 22.1.1-22.1.4.
  42. R. Bao et al., "Multiple-Vt Solutions in Nanosheet Technology for High Performance and Low Power Applications," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2019, pp. 11.2.1-11.2.4.
  43. P.H. Vardhan, S. Ganguly, and U. Ganguly, "Threshold voltage variability in nanosheet GAA transistors," IEEE Trans. Electron. Devices, vol. 66, no. 10, 2019, pp. 4433-4438. https://doi.org/10.1109/TED.2019.2933061
  44. W. Hafez et al., "Intel PowerVia technology: Backside power delivery for high density and high-performance computing," in Proc. VLSI Tech. Circuits, (Kyoto, Japan), June 2023, pp. T6-1.
  45. S. Kim et al., "Structural reliability and performance analysis of backside PDN," in Proc. VLSI Tech. Circuits, (Kyoto, Japan), June 2023, pp. TFS2-5.
  46. S. Yang et al., "PPA and scaling potential of backside power options in N2 and A14 nanosheet technology," in Proc. VLSI Tech. Circuits, (Kyoto, Japan), June 2023, pp. T4-2.
  47. A. Veloso et al., "Enabling logic with backside connectivity via n-TSVs and its potential as a scaling booster," in Proc. Symp. VLSI Tech., (Kyoto, Japan), June 2021, pp. TFS2-6.
  48. R. Chen et al., "Design and optimization of SRAM macro and logic using backside interconnects at 2nm node," in Proc. IEDM, (San Francisco, CA, USA), Dec. 2021, pp. 498-501.
  49. H.-H. Liu et al., "DTCO of sequential and monolithic CFET SRAM," Proc. of SPIE, vol. 2023, pp. 124950Z-1.
  50. H.-H. Liu et al., "CFET SRAM DTCO, interconnect guideline, and benchmark for CMOS scaling," IEEE Trans. Electron. Devices, vol. 70, no. 3, 2023, pp. 883-890.
  51. E. Park and T. Song, "Complementary FET(CFET) standard cell design for low parasitics and its impact on VLSI prediction at 3-nm process," IEEE Trans. Very Large Scale Integr. VLSI Syst., vol. 31, no. 2, 2023, pp. 177-187. https://doi.org/10.1109/TVLSI.2022.3220339