DOI QR코드

DOI QR Code

Bit Flip Reduction Schemes to Improve PCM Lifetime: A Survey

  • Han, Miseon (School of Electrical and Computer Engineering, Korea University) ;
  • Han, Youngsun (Department of Electronic Engineering, Kyungil University)
  • Received : 2016.08.10
  • Accepted : 2016.09.29
  • Published : 2016.10.30

Abstract

Recently, as the number of cores in computer systems has increased, the need for larger memory capacity has also increased. Unfortunately, dynamic random access memory (DRAM), popularly used as main memory for decades, now faces a scalability limitation. Phase change memory (PCM) is considered one of the strong alternatives to DRAM due to its advantages, such as high scalability, non-volatility, low idle power, and so on. However, since PCM suffers from short write endurance, direct use of PCM in main memory incurs a significant problem due to its short lifetime. To solve the lifetime limitation, many studies have focused on reducing the number of bit flips per write request. In this paper, we describe the PCM operating principles in detail and explore various bit flip reduction schemes. Also, we compare their performance in terms of bit reduction rate and lifetime improvement.

Keywords

References

  1. N. Aggarwal, et al., "Power-Efficient DRAM Speculation," in Proc. of HPCA 2008, pp. 317-328, Feb. 2008.
  2. H. David, et al., "Memory power management via dynamic voltage/frequency scaling," in Proc. of ICAC 2011, pp. 31-40, June. 2011.
  3. S. Chen, et al., "Rethinking Database Algorithms for Phase Change Memory," in Proc. of CIDR 2011, pp. 21-31, Jan. 2011.
  4. B. Lee, et al., "Architecting Phase Change Memory As a Scalable Dram Alternative," in Proc. of ISCA 2009, pp. 2-13, June. 2009.
  5. M. Prasanth, et al., "A Low-power Phase Change Memory Based Hybrid Cache Architecture," in Proc. of GLSVLSI 2008, pp. 395-398, May. 2008.
  6. Y. Joo, et al., "Energy- and endurance-aware design of phase change memory caches," in Proc. of DATE 2010, pp. 136-141, Mar. 2010.
  7. M. K. Qureshi, et al., "Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling," in Proc. of MICRO 2009, pp. 14-23, Dec. 2009.
  8. N. Seong, et al., "Security Refresh: Prevent Malicious Wear-out and Increase Durability for Phase-change Memory with Dynamically Randomized Address Mapping," in Proc. of ISCA 2010, pp. 383- 394, June. 2010.
  9. H. Chang, et al., "Marching-Based Wear-Leveling for PCM-Based Storage Systems," ACM TODAES, Vol. 20, pp. 25-46, Mar. 2015.
  10. S. Schechter, et al., "Use ECP, Not ECC, for Hard Failures in Resistive Memories," in Proc. of ISCA 2010, pp. 141-152, June. 2010.
  11. N. H. Seong, et al., "SAFER: Stuck-At-Fault Error Recovery for Memories," in Proc. of MICRO 2010, pp. 115-124, Dec. 2010.
  12. D. H. Yoon, et al., "FREE-p: Protecting non-vvolatile memory against both hard and soft errors," in Proc. of HPCA 2011, pp. 466-477, Feb. 2011.
  13. J. Fan, et al., "Aegis: Partitioning Data Block for Efficient Recovery of Stuck-at-faults in Phase Change Memory," in Proc. of MICRO 2013, pp. 433- 444, Dec. 2013.
  14. B. Yang, et al., "A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme," in Proc. of ISCAS 2007, pp. 3014- 3017, May. 2007.
  15. S. Cho, et al., "Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance," in Proc. of MICRO 2009, pp. 347-357, Dec. 2009.
  16. A. N. Jacobvitz, et al., "Coset coding to extend the lifetime of memory," in Proc. of HPCA 2013, pp. 222-233, Feb. 2013.
  17. R. Maddah, et al., "CAFO: Cost aware flip optimization for asymmetric memories," in Proc. of HPCA 2015, pp. 320-330, Feb. 2015.
  18. J. Chen, et al., "Exploring Dynamic Redundancy to Resuscitate Faulty PCM Blocks," ACM JETC, Vol. 10, pp. 31-53, June. 2014.
  19. Z. Miao, et al., "Writeback-aware Partitioning and Replacement for Last-level Caches in Phase Change Main Memory Systems," ACM TACO, Vol. 8, pp. 53-73, Jan. 2012.
  20. I. Engin, et al., "Dynamically Replicated Memory: Building Reliable Systems from Nanoscale Resistive Memories," in Proc. of ASPLOS 2010, pp. 3-14, Mar. 2010.
  21. P. Aashish, et al., "Analysis of Redundancy and Application Balance in the SPEC CPU2006 Benchmark Suite," in Proc. of ISCA 2007, pp. 412- 423, June. 2007.
  22. A. Patel, et al., "MARSS: A full system simulator for multicore x86 CPUs," in Proc. of DAC 2011, pp. 1050-1055, June. 2011.
  23. P. Rosenfeld, et al., "DRAMSim2: A Cycle Accurate Memory System Simulator," IEEE Computer Architecture Letters, Vol. 10, pp. 16-19, Jan. 2011. https://doi.org/10.1109/L-CA.2011.4
  24. W. Zhang, et al., "Characterizing and mitigating the impact of process variations on phase change based memory systems," in Proc. of MICRO 2008, pp. 2-13, Dec. 2009.
  25. K. Kim, et al., "Reliability investigations for manufacturable high density PRAM," in Proc. of IRPS 2005, pp. 157-162, Apr. 2005.
  26. S. Raoux, et al., "Phase change materials and phase change memory," Materials Research Society, Vol. 39, pp. 703-710, Aug. 2014. https://doi.org/10.1557/mrs.2014.139
  27. I. Heng, et al., "Error correcting codes associated with complex Hadamard matrices," Applied Mathematics Letters, Vol. 11, pp. 77-80, July. 1998. https://doi.org/10.1016/S0893-9659(98)00059-7
  28. G.D. Forney, "Coset codes. I. Introduction and geometrical classification," IEEE Transactions on Information Theory, Vol. 34, pp. 1123-1151, Sep. 1988. https://doi.org/10.1109/18.21245
  29. G.D. Forney, "Coset codes. II. Binary lattices and related codes," IEEE Transactions on Information Theory, Vol. 34, pp. 1152-1187, Sep. 1988. https://doi.org/10.1109/18.21246

Cited by

  1. Content-Aware Bit Shuffling for Maximizing PCM Endurance vol.22, pp.3, 2017, https://doi.org/10.1145/3017445
  2. CACF vol.15, pp.2, 2018, https://doi.org/10.1145/3195799