DOI QR코드

DOI QR Code

A Single-ended Simultaneous Bidirectional Transceiver in 65-nm CMOS Technology

  • Jeon, Min-Ki (Department of Electronic Engineering Hanyang University) ;
  • Yoo, Changsik (Department of Electronic Engineering Hanyang University)
  • Received : 2016.04.18
  • Accepted : 2016.09.06
  • Published : 2016.12.30

Abstract

A simultaneous bidirectional transceiver over a single wire has been developed in a 65 nm CMOS technology for a command and control bus. The echo signals of the simultaneous bidirectional link are cancelled by controlling the decision level of receiver comparators without power-hungry operational amplifier (op-amp) based circuits. With the clock information embedded in the rising edges of the signals sent from the source side to the sink side, the data is recovered by an open-loop digital circuit with 20 times blind oversampling. The data rate of the simultaneous bidirectional transceiver in each direction is 75 Mbps and therefore the overall signaling bandwidth is 150 Mbps. The measured energy efficiency of the transceiver is 56.7 pJ/b and the bit-error-rate (BER) is less than $10^{-12}$ with $2^7-1$ pseudo-random binary sequence (PRBS) pattern for both signaling directions.

Keywords

References

  1. J.-H. Kim, S. Kim, W.-S. Kim, J.-H. Choi, H.-S. Hwang, C. Kim, and S. Kim, "A 4-Gb/s/pin lowpower memory I/O interface using 4-level simultaneous bi-directional signaling," IEEE J. Solid-State Circuits, vol. 40, no. 1, Jan. 2005, pp. 89-101. https://doi.org/10.1109/JSSC.2004.838007
  2. R. J. Drost and B. A. Wooley, "An 8-Gb/s/pin simultaneously bidirectional transceiver in 0.35-${\mu}m$ CMOS," IEEE J. Solid-State Circuits, vol. 39, no. 11, Nov. 2004pp, 1894-1908. https://doi.org/10.1109/JSSC.2004.835837
  3. J.-K. Kim, J.-H. Choi, S.-W. Shin, C.-K. Kim, H.-Y. Kim, W.-S. Kim, C. Kim, and S.-I. Cho, "A 3.6Gb/s/pin simultaneous bidirectional (SBD) I/O interface for high-speed DRAM," IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2004, pp. 414-415.
  4. B. Casper, A. Martin, J. Jaussi, J. Kennedy, and R. Mooney, "An 8-Gb/s simultaneous bidirectional link with on-die waveform capture," IEEE J. Solid-State Circuits, vol. 38, no. 12, Dec. 2003, pp. 2111-2120. https://doi.org/10.1109/JSSC.2003.818569
  5. G. Chandra and M. Malkin, "A full-duplex 10Gbase-T transmitter hybrid with SFDR>65dBc over 1 to 400MHz in 40nm CMOS," IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2011, pp. 144-146.
  6. R. Farjad, M. Brown, A. Tavakoli, D. Nhuyen, H. Sedarat, R. Shirani, and H.-T. Ng, "A 48-port FCCcompliant 10Gbase-T transmitter with mixed-mode adaptive echo canceller," IEEE J. Solid-State Circuits, vol. 47, no. 12, Dec. 2012, pp. 3261-3272. https://doi.org/10.1109/JSSC.2012.2216452
  7. K. Kaviani, A. Amirkhany, C. Huang, P. Le, W. T. Beyene, C. Madden, K. Saito, K. Sano, V. I. Murugan, K.-Y. Chang, and X. C. Yuan, "0.4-mW/Gb/s near-ground receiver front-end with replica transconductance termination calibration for a 16-Gb/s source-series terminated transceiver," IEEE J. Solid-State Circuits, vol. 48, no. 3, Mar. 2013, pp. 636-648. https://doi.org/10.1109/JSSC.2013.2242714
  8. Y.-H. Song, R. Bai, K. Hu, H.-W. Yang, P. Y. Chiang, and S. Palermo, "A 0.47-0.66 pJ/bit, 4.8-8 Gb/s I/O transceiver in 65nm CMOS," IEEE J. Solid-State Circuits, vol. 48, no. 5, May. 2013, pp. 1276-1289. https://doi.org/10.1109/JSSC.2013.2249812