DOI QR코드

DOI QR Code

Performance Optimization Study of FinFETs Considering Parasitic Capacitance and Resistance

  • An, TaeYoon (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University) ;
  • Choe, KyeongKeun (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University) ;
  • Kwon, Kee-Won (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University) ;
  • Kim, SoYoung (Department of Semiconductor Systems Engineering, College of Information and Communication Engineering, Sungkyunkwan University)
  • Received : 2014.05.07
  • Accepted : 2014.07.28
  • Published : 2014.10.30

Abstract

Recently, the first generation of mass production of FinFET-based microprocessors has begun, and scaling of FinFET transistors is ongoing. Traditional capacitance and resistance models cannot be applied to nonplanar-gate transistors like FinFETs. Although scaling of nanoscale FinFETs may alleviate electrostatic limitations, parasitic capacitances and resistances increase owing to the increasing proximity of the source/drain (S/D) region and metal contact. In this paper, we develop analytical models of parasitic components of FinFETs that employ the raised source/drain structure and metal contact. The accuracy of the proposed model is verified with the results of a 3-D field solver, Raphael. We also investigate the effects of layout changes on the parasitic components and the current-gain cutoff frequency ($f_T$). The optimal FinFET layout design for RF performance is predicted using the proposed analytical models. The proposed analytical model can be implemented as a compact model for accurate circuit simulations.

Keywords

References

  1. The International Technology Roadmap for Semiconductors (ITRS), 2013.
  2. B. K. Choi, K. R. Han, K. H. Park, Y. M. Kim, and J. H. Lee, "Study on Electrical Characteristics of Ideal Double-Gate Bulk FinFETs," Journal of Semiconductor Technology and Science, vol. 43, no. 11, 2005.
  3. E. J. Nowak, Ingo Aller, Thomas Ludwig, KeunWon Kim, Rajiv V. Joshi, ChingTe Chuang, Jerry Bernstein, and Ruchir Puri., "Turning silicon on its edge [double gate MOS/ FinFET technology]," IEEE Circuits and Devices Magazine, vol. 20, no.1, pp. 20-31, 2004. https://doi.org/10.1109/MCD.2004.1263404
  4. K. W. Lee, S. S. Noh, N. H. Kim, K.-W. Kwon, and S. Y. Kim, "Comparative study of analog performance of multiple fin tri-gate FinFETs," International Conference on Electronics, Information and Communication, 2012.
  5. C. R. Manoj, A. B. Sachid, F. Yuan, C. Y. Chang, and V. R. Rao, "Impact of fringe capacitance on the performance of nanoscale FinFETs," IEEE Electron Device Lett., vol. 31, no. 1, pp. 83-85, 2010. https://doi.org/10.1109/LED.2009.2035934
  6. V. Subramanian, A. Mercha, B. Parvias, M. Dehan, G. Groeseneken, W. Sansen, and S. Decoutere, "Identifying the bottlenecks to the RF performance of FinFETs," in Proc. 23rd Int. Conf. VLSI Design, pp. 111-116, 2010.
  7. A. Dixit, A. Kottantharayil, N. Collaert, M. Goodwin, M. Jurczak, and K. D. Meyer, "Analysis of the parasitic S/D resistance in multiple-gate FETs," IEEE Trans. Electron Devices, vol. 52, no. 6, pp. 1132-1140, 2005. https://doi.org/10.1109/TED.2005.848098
  8. D. Tekleab, S. Samavedam, and P. Zeitzoff, "Modeling and Analysis of Parasitic Resistance in Double-Gate FinFETs," IEEE Trans. Electron Devices, vol. 56, no. 10, pp. 2291-2296, 2009. https://doi.org/10.1109/TED.2009.2028377
  9. W. Wu and M. Chan, "Analysis of Geometry-Dependent Parasitics in Multifin Double-Gate FinFETs," IEEE Trans. Electron Devices, vol. 54, no. 4, pp. 692-698, 2007. https://doi.org/10.1109/TED.2007.891252
  10. J. Lacord and F. Boeuf, "Comprehensive and Accurate Parasitic Capacitance Models for Twoand Three-Dimensional CMOS Device Structures," IEEE Trans. Electron Devices, vol. 59, no. 5, pp. 1332-1344, 2012. https://doi.org/10.1109/TED.2012.2187454
  11. H. H. Berger, "Contact resistance on diffused resistors," in Proc. ISSCC, pp. 162-163, 1969.
  12. S. Kalaivani, K.K. Nagarajan, and Dr. R. Srinivasan, "Sensitivity of 30 nm Gatelength FinFET based LNA to Various Geometrical parameters," in Proc. ICETECT, pp. 571-576, 2011.
  13. J. C. Tinoco, S. S. Rodriguez and et. al., "Impact of Extrinsic Capacitances on FinFET RF performance," IEEE trans. vol. 61, no. 2, pp. 833-840, Feb. 2013.
  14. A. Bansal, B. C. Paul, and K. Roy, "Modeling and optimization of fringe capacitance of nanoscale DGMOS devices," IEEE Trans. Electron Devices, vol. 52, no. 2, pp. 256-262, Feb. 2005. https://doi.org/10.1109/TED.2004.842713
  15. A. Bansal, B. C. Paul, and K. Roy, "An analytical fringe capacitance model for interconnects using conformal mapping," IEEE Trans.Computer-Aided Design Integr. Circuits Syst., vol. 25, no. 12, pp.2765-2774, Dec. 2006. https://doi.org/10.1109/TCAD.2006.882489
  16. J. D. Anderson, Fundamentals of Aerodynamics, 2nd ed. New York: McGraw Hill, 1991
  17. TCAD Raphael User's Guide, Synopsys.
  18. D. James,Chipworks, "Intel Ivy Bridge unveiled-the first commercial tri-gate, high-k, metal-gate CPU," IEEE CICC, pp. 1-4, 2012.
  19. M. N. O. Sadiku, Elements of Electromagnetics.: Oxford Univ. Press, 2011.
  20. BSIM-CMG [Online]. Available : wwwdevice.eecs.berkeley.edu/bsim
  21. TCAD Sentaurus User's Guide, Synopsys.
  22. Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices. Cambridge, U. K.: Cambridge Univ. Press, 2009.
  23. C. Auth, et al., "A 22nm High Performance and Low-Power CMOS Technology Featuring Fully-Depleted Tri-Gate Transistors, Self-Aligned Contacts and High Density MIM Capacitors," Proceeding of Sym. on VLSI Tech., pp. 131-132, 2012.

Cited by

  1. Design of Poly-Si Junctionless Fin-Channel FET With Quantum-Mechanical Drift-Diffusion Models for Sub-10-nm Technology Nodes vol.63, pp.12, 2016, https://doi.org/10.1109/TED.2016.2614990
  2. Circuit Performance Prediction of Scaled FinFET Following ITRS Roadmap based on Accurate Parasitic Compact Model vol.52, pp.10, 2015, https://doi.org/10.5573/ieie.2015.52.10.033
  3. Contact resistances in trigate and FinFET devices in a non-equilibrium Green's functions approach vol.119, pp.8, 2016, https://doi.org/10.1063/1.4942217
  4. Accuracy Evaluation of the FinFET RC Compact Parasitic Models through LNA Design vol.53, pp.11, 2016, https://doi.org/10.5573/ieie.2016.53.11.025