• Title/Summary/Keyword: scratch removal

Search Result 53, Processing Time 0.03 seconds

Evaluation on Tungsten CMP Characteristic using Fixed Abrasive Pad with Alumina (알루미나 고정입자패드를 이용한 텅스텐 CMP 특성 평가)

  • 박범영;김호윤;김형재;서헌덕;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2002.10a
    • /
    • pp.206-209
    • /
    • 2002
  • The fixed abrasive pad(FAP) has been introduced in chemical mechanical polishing(CMP) field recently. In comparison with the general CMP which uses the slurry including abrasives, FAP takes advantage of planarity. resulting from decreasing pattern selectivity and defects such as dishing due to the reduction of abrasive concentration especially. This paper introduces the manufacturing technique of $Al_2$O$_3$-FAP using hydrophilic polymers with swelling characteristic in water and explains the self.texturing phenomenon. It also focuses on the chemical effects on tungsten film and the FAP is evaluated on the removal rate as a function of chemicals such as oxidizer, catalyst, and acid. The removal rate is achieved up to 1000A1min as about 70 percents of the general one. In the future. the research has a plan of the advanced FAP and chemicals in tungsten CMP considering micro-scratch, life-time, and within wafer non-uniformity.

  • PDF

CMP properties of $SnO_2$ thin film by different slurry (슬러리 종류에 따른 $SnO_2$ 박막의 광역평탄화 특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Ko, Pil-Ju;Kim, Wan-Tae;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.389-392
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and non-uniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between particle size and CMP with particle size analysis of used slurry.

  • PDF

CMP properties of $SnO_2$ thin film ($SnO_2$ 박막의 CMP 특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Ko, Pil-Ju;Hong, Kwang-Jun;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.184-187
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) lyaer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2-CMP$ process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis or used slurry.

  • PDF

Effect of pH level and slurry particle size on the chemical mechanical planarization of langasite crystal wafer (pH level 및 slurry 입도가 langasite wafer의 chemical mechanical planarization에 미치는 영향)

  • Cho Hyun
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.15 no.1
    • /
    • pp.34-38
    • /
    • 2005
  • Effects of pH level and slurry particle size on material removal rate and planarization of langasite single crystal wafer have been examined. Higher material removal rate was obtained with lower pH level slurries while the planarization was found to be determined by average particle size of colloidal silica slurries. Slurries containing 0.045 ㎛ amorphous silica particles showed the best polishing effect without any scratches on the surface. Effective particle number has a strong effect on the surface planarization and the removal rate, so that the lower effective particle numbers produced low removal rate but the better planarization results.

Effect of shape and surface properties of hydrothermaled silica particles in chemical mechanical planarization of oxide film (실리카 입자의 형상과 표면 특성이 산화막 CMP에 미치는 영향)

  • Jeong, Jeong-Hwan;Lim, Hyung-Mi;Kim, Dae-Sung;Paik, Un-Gyu;Lee, Seung-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.161-161
    • /
    • 2008
  • The oxide film of silicon wafer has been mainly polished by fumed silica, colloidal silica or ceria slurry. Because colloidal silica slurry is uniform and highly dispersed composed of spherical shape particles, by which the oxide film polished remains to be less scratched in finishing polishing process. Even though the uniformity and spherical shape is advantage for reducing the scratch, it may also be the factor to decrease the removal rate. We have studied the correlation of silica abrasive particles and CMP characteristics by varying pH, down force, and table rotation rate in polishing. It was found that the CMP polishing is dependent on the morphology, aggregation, and the surface property of the silica particles.

  • PDF

Tungsten CMP using Fixed Abrasive Pad with Self-Conditioning (Self-Conditioning을 이용한 고정입자패드의 텅스텐 CMP)

  • Park, Boum-Young;Kim, Ho-Youn;Seo, Heon-Deok;Jeong, Hae-Do
    • Proceedings of the KSME Conference
    • /
    • 2003.04a
    • /
    • pp.1296-1301
    • /
    • 2003
  • The chemical mechanical polishing(CMP) is necessarily applied to manufacturing the dielectric layer and metal line in the semiconductor device. The conditioning of polishing pad in CMP process additionally operates for maintaining the removal rate, within wafer non-uniformity, and wafer to wafer non-uniformity. But the fixed abrasive pad(FAP) using the hydrophilic polymer with abrasive that has the swelling characteristic by water owns the self-conditioning advantage as compared with the general CMP. FAP also takes advantage of planarity, resulting from decreasing pattern selectivity and defects such as dishing due to the reduction of abrasive concentration. This paper introduces the manufacturing technique of FAP. And the tungsten CMP using FAP achieved the good conclusion in point of the removal rate, non-uniformity, surface roughness, material selectivity, micro-scratch free contemporary with the pad life-time.

  • PDF

Influence of the Diamond Abrasive Size during Mechanical Polishing Process on the Surface Morphology of Gallium Nitride Substrate (Gallium Nitride 기판의 Mechanical Polishing시 다이아몬드 입자 크기에 따른 표면 Morphology의 변화)

  • Kim, Kyoung-Jun;Jeong, Jin-Suk;Jang, Hak-Jin;Shin, Hyun-Min;Jeong, Hae-Do
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.25 no.9
    • /
    • pp.32-37
    • /
    • 2008
  • Freestanding hydride vapor phase epitaxy grown GaN(Gallium Nitride) substrates subjected to various polishing methods were characterized for their surface and subsurface conditions, Although CMP(Chemical Mechanical Polishing) is one of the best approaches for reducing scratches and subsurface damages, the removal rate of Ga-polar surface in CMP is insignificant($0.1{\sim}0.3{\mu}m$/hr) as compared with that of N-polar surface, Therefore, conventional MP(Mechanical Polishing) is commonly used in the GaN substrate fabrication process, MP of (0001) surface of GaN has been demonstrated using diamond slurries with different abrasive sizes, Diamond abrasives of size ranging from 30nm to 100nm were dispersed in ethylene glycol solutions and mineral oil solutions, respectively. Significant change in the surface roughness ($R_a$ 0.15nm) and scratch-free surface were obtained by diamond slurry of 30nm in mean abrasive size dispersed in mineral oil solutions. However, MP process introduced subsurface damages confirmed by TEM (Transmission Electronic Microscope) and PL(Photo-Luminescence) analysis.

Development of a Process Technique for Heavy Metal Removal in the Production of Recycled Synthetic Resin Materials (재생 합성수지 원료생산을 위한 중금속 이물질 제거 공정기술 개발)

  • Kim, Jung-Ho;Cha, Cheon-Seok;Kim, Jae-Yeol;Kim, Ji-Hoon
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.17 no.4
    • /
    • pp.137-142
    • /
    • 2018
  • Recycled synthetic resin materials produced from waste vinyl and waste plastic contain many foreign substances. Plastic products made from this recycled resin materials containing foreign substances are of poor quality, with reduced the strength and rigidity. Foreign substances include heavy metals, cement, foil, dyed paper and dust. In this study, the scratch-Dies process; which remove foreign sbustances, with precision and automation, through a three-stage mesh filter, is designed. The process is evaluated with finite element analysis according to vibration loading and make. After installing the manufactured equipment, recycled resin was producde, and its heavy metal content was evaluated. Recycled synthetic resin materials were also used plastic products and evaluate their strength. In addition, the change in production was assessed.

A Study on Stick-slip Friction and Scratch in Cu CMP (Cu CMP에서 스틱-슬립 마찰과 스크래치에 관한 연구)

  • Lee, Hyun-Seop;Park, Boum-Young;Jeong, Suk-Hoon;Jeong, Jae-Woo;Seo, Heon-Deok;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.07a
    • /
    • pp.653-654
    • /
    • 2005
  • Stick-slip friction is one of the material removal mechanisms in tribology. This stick-slip friction occurs when the static friction force is larger than the dynamic friction force, and make the friction curve fluctuated. In the friction force monitoring system for chemical mechanical polishing(CMP), the friction force also vibrates just as stick-slip friction. It seems that the stick-slip friction causes scratches on the surface of moving parts. In this paper, A study on the scratches which occur during copper CMP was conducted in a view of stick-slip friction.

  • PDF

Mixed Nano Silica Colloidal Slurry for Reliability Improvement of Sapphire Wafer CMP Process (사파이어 웨이퍼 CMP 공정 신뢰성 향상을 위한 혼합 나노실리카 콜로이달 슬러리)

  • Chung, Chan Hong
    • Journal of Applied Reliability
    • /
    • v.14 no.1
    • /
    • pp.11-19
    • /
    • 2014
  • A colloidal silica slurry has been manufactured by mixing nano silica powders having different grain size to improve the reliability of Sapphire wafer CMP process. The main reliability problem of CMP process such as the breaking of wafer can be prevented by reducing the size of particles in a slurry. While existing commercial colloidal silica slurries are usually made of single grain size silica powder of about 120nm, in the present study 40nm and 100nm silica powders are mixed to achieve a similar removal rate. The new colloidal silica slurry showed wafer removal rate of $3.04{\mu}m/120min$ while that of a commercial colloidal silica slurry was $3.03{\mu}m/120min$. The roughness was less than $4{\AA}$ and scratch was 0. It is also expected that the reduction of the size of nano silica particles can improve the dispersion stability and prolong the useful life of the slurry.