• 제목/요약/키워드: reactive ion etching (RIE)

검색결과 181건 처리시간 0.03초

Pt 금속마스크를 이용하여 제작한 나노패턴 Si(111) 기판위에 성장한 GaN 박막 특성 (Characterization of GaN epitaxial layer grown on nano-patterned Si(111) substrate using Pt metal-mask)

  • 김종옥;임기영
    • 마이크로전자및패키징학회지
    • /
    • 제21권3호
    • /
    • pp.67-71
    • /
    • 2014
  • 본 연구에서는 Si(111) 기판을 이용하여 고품질의 GaN 박막을 성장하기 위하여 다양한 패턴을 갖는 Si 기판을 제작하였다. Si(111) 기판위에 이온 스퍼터(ion-sputter)를 이용하여 Pt 박막을 증착한 후 열처리(thermal annealing)하여 Pt 금속 마스크를 형성하고 유도 결합 플라즈마 이온 식각(inductively coupled plasma-reactive ion etching, ICP-RIE) 공정을 통하여 기둥(pillar)형태의 나노 패턴된 Si(111) 기판을 제작하였고 리소그래피 공정을 통하여 마이크로 패턴된 Si(111) 기판을 제작하였다. 일반적인 Si(111) 기판, 마이크로 패턴된 Si(111) 기판 및 나노 패턴된 Si(111) 기판위에 유기화학기상증착(metal organic chemical vapor deposition, MOCVD) 방법으로 GaN 박막을 성장하여 표면 특성과 결정성 및 광학적 특성을 분석하였다. 나노 패턴된 Si(111) 기판위에 성장한 GaN 박막은 일반적인Si(111) 기판과 마이크로 패턴된 Si(111) 기판위에 성장한 GaN 박막보다 표면의 균열과 거칠기가 개선되었다. 나노 패턴된 Si(111) 기판위에 성장한 GaN (002)면과 (102)면에 x-선 회절(x-ray diffraction, XRD) 피크의 반폭치(full width at half maximum, FWHM)는 576 arcsec, 828 arcsec으로 다른 두 기판위에 성장한 GaN 박막 보다 가장 낮은 값을 보여 결정성이 향상되었음을 확인하였다. Photoluminescence(PL)의 반폭치는 나노 패턴된 Si(111) 기판위에 성장한 GaN 박막이 46.5 meV으로 다른 기판위에 성장한 GaN 박막과 비교하여 광학적 특성이 향상되었음을 확인하였다.

Electromagnetic Micro x-y Stage for Probe-Based Data Storage

  • Park, Jae-joon;Park, Hongsik;Kim, Kyu-Yong;Jeon, Jong-Up
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제1권1호
    • /
    • pp.84-93
    • /
    • 2001
  • An electromagnetic micro x-y stage for probe-based data storage (PDS) has been fabricated. The x-y stage consists of a silicon body inside which planar copper coils are embedded, a glass substrate bonded to the silicon body, and eight permanent magnets. The dimensions of flexures and copper coils were determined to yield $100{\;}\mu\textrm{m}$ in x and y directions under 50 mA of supplied current and to have 440 Hz of natural frequency. For the application to PDS devices, electromagnetic stage should have flat top surface for the prevention of its interference with multi-probe array, and have coils with low resistance for low power consumption. In order to satisfy these design criteria, conducting planar copper coils have been electroplated within silicon trenches which have high aspect ratio ($5{\;}\mu\textrm{m}$in width and $30{\;}\mu\textrm{m}$in depth). Silicon flexures with a height of $250{\;}\mu\textrm{m}$ were fabricated by using inductively coupled plasma reactive ion etching (ICP-RIE). The characteristics of a fabricated electromagnetic stage were measured by using laser doppler vibrometer (LDV) and dynamic signal analyzer (DSA). The DC gain was $0.16{\;}\mu\textrm{m}/mA$ and the maximum displacement was $42{\;}\mu\textrm{m}$ at a current of 180 mA. The measured natural frequency of the lowest mode was 325 Hz. Compared with the designed values, the lower natural frequency and DC gain of the fabricated device are due to the reverse-tapered ICP-RIE process and the incomplete assembly of the upper-sided permanent magnets for LDV measurements.

  • PDF

Polymer MEMS 공정을 이용한 의료용 미세 부품 성형 기술 개발 (Development of micro check valve with polymer MEMS process for medical cerebrospinal fluid (CSF) shunt system)

  • 장준근;박찬영;정석;김중경;박훈재;나경환;조남선;한동철
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2000년도 춘계학술대회 논문집
    • /
    • pp.1051-1054
    • /
    • 2000
  • We developed the micro CSF (celebrospinal fluid) shunt valve with surface and bulk micromachining technology in polymer MEMS. This micro CSF shunt valve was formed with four micro check valves to have a membrane connected to the anchor with the four bridges. The up-down movement of the membrane made the CSF on & off and the valve characteristic such as open pressure was controlled by the thickness and shape of the bridge and the membrane. The membrane, anchor and bridge layer were made of the $O_2$ RIE (reactive ion etching) patterned Parylene thin film to be about 5~10 microns in thickness on the silicon wafer. The dimension of the rectangular nozzle is 0.2*0.2 $\textrm{mm}^2$ and the membrane 0.45 mm in diameter. The bridge width is designed variously from 0.04 mm to 0.12 mm to control the valve characteristics. To protect the membrane and bridge in the CSF flow, we developed the packaging system for the CSF micro shunt valve with the deep RIE of the silicon wafer. Using this package, we can control the gap size between the membrane and the nozzle, and protect the bridge not to be broken in the flow. The total dimension of the assembled system is 2.5*2.5 $\textrm{mm}^2$ in square, 0.8 mm in height. We could precisely control the burst pressure and low rate of the valve varing the design parameters, and develop the whole CSF shunt system using this polymer MEMS fabricated CSF shunt valve.

  • PDF

원자층 식각을 이용한 Sub-32 nm Metal Gate/High-k Dielectric CMOSFETs의 저손상 식각공정 개발에 관한 연구

  • 민경석;김찬규;김종규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.463-463
    • /
    • 2012
  • ITRS (international technology roadmap for semiconductors)에 따르면 MOS(metal-oxide-semiconductor)의 CD (critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/$SiO_2$를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두된다고 보고하고 있다. 일반적으로 high-k dielectric를 식각시 anisotropic 한 식각 형상을 형성시키기 위해서 plasma를 이용한 RIE (reactive ion etching)를 사용하고 있지만 PIDs (plasma induced damages)의 하나인 PIED (plasma induced edge damage)의 발생이 문제가 되고 있다. PIED의 원인으로 plasma의 direct interaction을 발생시켜 gate oxide의 edge에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 high-k dielectric의 식각공정에 HDP (high density plasma)의 ICP (inductively coupled plasma) source를 이용한 원자층 식각 장비를 사용하여 PIED를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. One-monolayer 식각을 위한 1 cycle의 원자층 식각은 총 4 steps으로 구성 되어 있다. 첫 번째 step은 Langmuir isotherm에 의하여 표면에 highly reactant atoms이나 molecules을 chemically adsorption을 시킨다. 두 번째 step은 purge 시킨다. 세 번째 step은 ion source를 이용하여 발생시킨 Ar low energetic beam으로 표면에 chemically adsorbed compounds를 desorption 시킨다. 네 번째 step은 purge 시킨다. 결과적으로 self limited 한 식각이 이루어짐을 볼 수 있었다. 실제 공정을 MOS의 high-k dielectric에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU (North Carolina State University) CVC model로 구한 EOT (equivalent oxide thickness)는 변화가 없으면서 mos parameter인 Ion/Ioff ratio의 증가를 볼 수 있었다. 그 원인으로 XPS (X-ray photoelectron spectroscopy)로 gate oxide의 atomic percentage의 분석 결과 식각 중 발생하는 gate oxide의 edge에 trap의 감소로 기인함을 확인할 수 있었다.

  • PDF

Fabrication of Hierarchical Nanostructures Using Vacuum Cluster System

  • Lee, Jun-Young;Yeo, Jong-Souk
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.389-390
    • /
    • 2012
  • In this study, we fabricate a superhydrophobic surface made of hierarchical nanostructures that combine wax crystalline structure with moth-eye structure using vacuum cluster system and measure their hydrophobicity and durability. Since the lotus effect was found, much work has been done on studying self-cleaning surface for decades. The surface of lotus leaf consists of multi-level layers of micro scale papillose epidermal cells and epicuticular wax crystalloids [1]. This hierarchical structure has superhydrophobic property because the sufficiently rough surface allows air pockets to form easily below the liquid, the so-called Cassie state, so that the relatively small area of water/solid interface makes the energetic cost associated with corresponding water/air interfaces smaller than the energy gained [2]. Various nanostructures have been reported for fabricating the self-cleaning surface but in general, they have the problem of low durability. More than two nanostructures on a surface can be integrated together to increase hydrophobicity and durability of the surface as in the lotus leaf [3,5]. As one of the bio-inspired nanostructures, we introduce a hierarchical nanostructure fabricated with a high vacuum cluster system. A hierarchical nanostructure is a combination of moth-eye structure with an average pitch of 300 nm and height of 700 nm, and the wax crystalline structure with an average width and height of 200 nm. The moth-eye structure is fabricated with deep reactive ion etching (DRIE) process. $SiO_2$ layer is initially deposited on a glass substrate using PECVD in the cluster system. Then, Au seed layer is deposited for a few second using DC sputtering process to provide stochastic mask for etching the underlying $SiO_2$ layer with ICP-RIE so that moth-eye structure can be fabricated. Additionally, n-hexatriacontane paraffin wax ($C_{36}H_{74}$) is deposited on the moth-eye structure in a thermal evaporator and self-recrystallized at $40^{\circ}C$ for 4h [4]. All of steps are conducted utilizing vacuum cluster system to minimize the contamination. The water contact angles are measured by tensiometer. The morphology of the surface is characterized using SEM and AFM and the reflectance is measured by spectrophotometer.

  • PDF

저가형 열영상 시스템을 위한 실리콘 윈도우 제작 (Fabrication of Silicon Window for Low-price Thermal Imaging System)

  • 성병목;정동건;방순재;백선민;공성호
    • 센서학회지
    • /
    • 제24권4호
    • /
    • pp.264-269
    • /
    • 2015
  • An infrared (IR) bolometer measures the change of resistance by absorbing incident IR radiation and generates a signal as a function of the radiation intensity. Since a bolometer requires temperature stabilization and light filtering except for the infrared rays, it is essential for the device to be packaged meeting conditions that above mentioned. Minimization of heat loss is needed in order to stabilize temperature of bolometer. Heat loss by conduction or convection requires a medium, so the heat loss will be minimized if the medium is a vacuum. Therefore, vacuum packaging for bolometer is necessary. Another important element in bolometer packaging is germanium (Ge) window, which transmits IR radiation to heat the bolometer. To ensure a complete transmittance of IR light, anti-reflection (AR) coatings are deposited on both sides of the window. Although the transmittance of Ge window is high for IR rays, it is difficult to use frequently in low-price IR bolometer because of its high price. In this paper, we fabricated IR window by utilizing silicon (Si) substrate instead of Ge in order to reduce the cost of bolometer packaging. To enhance the IR transmittance through Si substrate, it is textured using Reactive Ion Etching (RIE). The texturing process of Si substrate is performed along with the change of experimental conditions such as gas ratio, pressure, etching time and RF power.

PSG 광도파박막을 이용한 $1.3/1.55\mum$ WDM coupler의 설계 및 제작 (Design and fabrication of the $1.3/1.55\mum$ WDM coupler with the PSG waveguide films)

  • 전영윤;김한수;이용태;이형종
    • 한국광학회지
    • /
    • 제6권4호
    • /
    • pp.310-316
    • /
    • 1995
  • 유한영역에서의 조화함수전개법으로 인접한 두 도파로 코어 중심간의 거리 및 도파로 변수에 따른 결합길이를 계산하여 $1.3/1.55\mum$ WDM coupler을 설계하였다. 저압화학기상증착법에 의해 PSG 도파박막을 제작하고 laser lithography와 $CF_4/O_2$ RIE 공정 등을 이용하여 WDM coupler를 제작하였다. 또한 광섬유를 지지 및 고정하기 위하여 Si 기판 위에 V-groove를 만들었으며 제작된 WDM coupler와 V-groove로 지지된 광섬유를 UV curing epoxy를 사용하여 접속하였다. 제작된 WDM coupler의 $1.3.\mum$, $1.55\mum$에서의 분지별 도파모드를 관측하고 분할비를 측정한 결과 최대 분할비는 각각 9dB, 12dB였다.

  • PDF

자성 메모리의 적용을 위한 나노미터 크기로 패턴된 Magnetic Tunnel Junction의 식각 특성 (Etch Characteristics of Magnetic Tunnel Junction Stack Patterned with Nanometer Size for Magnetic Random Access Memory)

  • 박익현;이장우;정지원
    • 공업화학
    • /
    • 제16권6호
    • /
    • pp.853-856
    • /
    • 2005
  • 자성 메모리반도체의 핵심 소자인 magnetic tunnel junction (MTJ) stack에 대한 고밀도 유도결합 플라즈마 반응성 식각이 연구되었다. MTJ stack은 electron(e)-beam lithography 공정을 사용하여 나노미터 크기의 패턴 형성이 되었으며 식각을 위한 하드 마스크(hard mask)로서 TiN 박막이 이용되었다. TiN 박막은 Ar, $Cl_2/Ar$, 그리고 $SF_6/Ar$들의 가스를 사용하여 식각공정이 연구되었다. E-beam lithography로 패턴된 TiN/MTJ stack은 첫 번째 단계로 TiN 하드 마스크가 식각되고 두 번째로 MTJ stack이 식각되어 완성되었다. MTJ stack은 Ar, $Cl_2/Ar$, $BCl_3/Ar$을 이용하여 식각되었으며 각각의 가스농도와 가스 압력을 변화시켜 MTJ stack의 식각특성이 조사되었다.

BST Thin Film Multi-Layer Capacitors

  • Choi, Woo Sung;Kang, Min-Gyu;Ju, Byeong-Kwon;Yoon, Seok-Jin;Kang, Chong-Yun
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.319-319
    • /
    • 2013
  • Even though the fabrication methods of metal oxide based thin film capacitor have been well established such as RF sputtering, Sol-gel, metal organic chemical vapor deposition (MOCVD), ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD), an applicable capacitor of printed circuit board (PCB) has not realized yet by these methods. Barium Strontium Titanate (BST) and other high-k ceramic oxides are important materials used in integrated passive devices, multi-chip modules (MCM), high-density interconnect, and chip-scale packaging. Thin film multi-layer technology is strongly demanded for having high capacitance (120 nF/$mm^2$). In this study, we suggest novel multi-layer thin film capacitor design and fabrication technology utilized by plasma assisted deposition and photolithography processes. Ba0.6Sr0.4TiO3 (BST) was used for the dielectric material since it has high dielectric constant and low dielectric loss. 5-layered BST and Pt thin films with multi-layer sandwich structures were formed on Pt/Ti/$SiO_2$/Si substrate by RF-magnetron sputtering and DC-sputtering. Pt electrodes and BST layers were patterned to reveal internal electrodes by photolithography. SiO2 passivation layer was deposited by plasma-enhanced chemical vapor deposition (PE-CVD). The passivation layer plays an important role to prevent short connection between the electrodes. It was patterned to create holes for the connection between internal electrodes and external electrodes by reactive-ion etching (RIE). External contact pads were formed by Pt electrodes. The microstructure and dielectric characteristics of the capacitors were investigated by scanning electron microscopy (SEM) and impedance analyzer, respectively. In conclusion, the 0402 sized thin film multi-layer capacitors have been demonstrated, which have capacitance of 10 nF. They are expected to be used for decoupling purpose and have been fabricated with high yield.

  • PDF

중성빔 식각을 이용한 Metal Gate/High-k Dielectric CMOSFETs의 저 손상 식각공정 개발에 관한 연구

  • 민경석;오종식;김찬규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.287-287
    • /
    • 2011
  • ITRS(international technology roadmap for semiconductors)에 따르면 MOS (metal-oxide-semiconductor)의 CD(critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/SiO2를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두되고 있다. 일반적으로 metal gate를 식각시 정확한 CD를 형성시키기 위해서 plasma를 이용한 RIE(reactive ion etching)를 사용하고 있지만 PIDs(plasma induced damages)의 하나인 PICD(plasma induced charging damage)의 발생이 문제가 되고 있다. PICD의 원인으로 plasma의 non-uniform으로 locally imbalanced한 ion과 electron이 PICC(plasma induced charging current)를 gate oxide에 발생시켜 gate oxide의 interface에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 metal gate의 식각공정에 HDP(high density plasma)의 ICP(inductively coupled plasma) source를 이용한 중성빔 시스템을 사용하여 PICD를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. 식각공정조건으로 gas는 HBr 12 sccm (80%)와 Cl2 3 sccm (20%)와 power는 300 w를 사용하였고 200 eV의 에너지로 식각공정시 TEM(transmission electron microscopy)으로 TiN의 anisotropic한 형상을 볼 수 있었고 100 eV 이하의 에너지로 식각공정시 하부층인 HfO2와 높은 etch selectivity로 etch stop을 시킬 수 있었다. 실제 공정을 MOS의 metal gate에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU(North Carolina State University) CVC model로 effective electric field electron mobility를 구한 결과 electorn mobility의 증가를 볼 수 있었고 또한 mos parameter인 transconductance (Gm)의 증가를 볼 수 있었다. 그 원인으로 CP(Charge pumping) 1MHz로 gate oxide의 inteface의 분석 결과 이러한 결과가 gate oxide의 interface trap양의 감소로 개선으로 기인함을 확인할 수 있었다.

  • PDF