• Title/Summary/Keyword: reactive ion etching (RIE)

Search Result 181, Processing Time 0.028 seconds

Formation of $Al_O_3$Barrier in Magnetic Junctions on Different Substrates by $O_2$Plasma Etching

  • Wang, Zhen-Jun;Jeong, Won-Cheol;Yoon, Yeo-Geon;Jeong66, Chang-Wook;Joo, Seung-Ki
    • Journal of Magnetics
    • /
    • v.6 no.3
    • /
    • pp.90-93
    • /
    • 2001
  • Co/$Al_O_3$/NiFe and CO/$Al_O_3$/Co tunnel junctions were fabricated by a radio frequency magnetron sputtering at room temperature with hard mask on glass and $4^{\circ}$ tilt cut Si (111) substrates. The barrier layer was formed through two steps. After the Al layer was deposited, it was oxidized in the chamber of a reactive ion etching system (RIE) with $O_2$plasma at various conditions. The dependence of the TMR value and junction resistance on the thickness of Al layer (before oxidation) and oxidation parameters were investigated. Magnetoresistance value of 7% at room temperature was obtained by optimizing the Al layer thickness and oxidation conditions. Circular shape junctions on $4^{\circ}$tilt cut Si (111) substrate showed 4% magnetoresistance. Photovoltaic energy conversion effect was observed with the cross-strip geometry junctions on Si substrate.

  • PDF

High Durable Anti-Reflective Polymer with Silica Nanoparticle Array Fabricated by RF Magnetron Sputter (RF sputter를 이용한 실리카 증착 고 내구성 반사 방지막 제조)

  • Jeon, Seong-Gwon;Jeong, Eun-Uk;Rha, Jong-Joo;Kwon, Jung-Dae
    • Journal of the Korean institute of surface engineering
    • /
    • v.52 no.2
    • /
    • pp.84-89
    • /
    • 2019
  • We fabricated durable anti-reflective(AR) layer with silica globular coating on polymer by two steps. Firstly, nano-protrusions of polymer were formed by plasma etching known as R.I.E(reactive ion etching) process. Secondly, silica globular coating was deposited on polymer nano-protrusions for mechanically protective and optically enhancing AR layers by RF magnetron sputter. And then durable antireflective polymers were synthesized adjusting plasma power and time, working pressures of RIE and RF sputtering processes. Consequently, we acquired the average transmission (94.10%) in the visible spectral range 400-800 nm and the durability of AR layer was verified to sustain its transmission until 5,000 numbers by rubber test at a load of 500 gf.

Fabrication of Bump-type Probe Card Using Bulk Micromachining (벌크 마이크로머시닝을 이용한 Bump형 Probe Card의 제조)

  • 박창현;최원익;김용대;심준환;이종현
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.3 no.3
    • /
    • pp.661-669
    • /
    • 1999
  • A probe card is one of the most important pan of test systems as testing IC(integrated circuit) chips. This work was related to bump-type silicon vertical probe card which enabled simultaneous tests for multiple semiconductor chips. The probe consists of silicon cantilever with bump tip. In order to obtain optimum size of the cantilever, the dimensions were determined by FEM(finite element method) analysis. The probe was fabricated by RIE(reactive ion etching), isotropic etching, and bulk-micromachining using SDB(silicon direct bonding) wafer. The optimum height of the bump of the probe detemimed by FEM simulation was 30um. The optimum thickness, width, and length of the cantilever were 20 $\mum$, 100 $\mum$,and 400 $\mum$,respectively. Contact resistance of the fabricated probe card measured at contact resistance testing was less than $2\Omega$. It was also confirmed that its life time was more than 20,000 contacts because there was no change of contact resistance after 20,000 contacts.

  • PDF

CF4/O2/Ar Plasma Resistance of Al2O3 Free Multi-components Glasses (Al2O3 Free 다성분계 유리의 CF4/O2/Ar 내플라즈마 특성)

  • Min, Kyung Won;Choi, Jae Ho;Jung, YoonSung;Im, Won Bin;Kim, Hyeong-Jun
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.3
    • /
    • pp.57-62
    • /
    • 2022
  • The plasma resistance of multi-component glasses containing La, Gd, Ti, Zn, Y, Zr, Nb, and Ta was analyzed in this study. The plasma etching was performed via inductively coupled plasma-reactive ion etching (ICP-RIE) using CF4/O2/Ar mixed gas. After the reaction, the glass with a low fluoride sublimation temperature and high content of P, Si, and Ti elements showed a high etching rate. On the other hand, the glass containing a high fluoride sublimation temperature component such as Ca, La, Gd, Y, and Zr exhibited high plasma resistance because the etch rate was lower than that of sapphire. Glass with low plasma resistance increased surface roughness after etching or nanoholes were formed on the surface, but glass with high plasma resistance showed little change in surface microstructure. Thus, the results of this study demonstrate the potential for the development of plasma-resistant glasses (PRGs) with other compositions besides alumino-silicate glasses, which are conventionally referred to as plasma-resistant glasses.

Fabrication of Scattering Layer for Light Extraction Efficiency of OLEDs (RIE 공정을 이용한 유기발광다이오드의 광 산란층 제작)

  • Bae, Eun Jeong;Jang, Eun Bi;Choi, Geun Su;Seo, Ga Eun;Jang, Seung Mi;Park, Young Wook
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.1
    • /
    • pp.95-102
    • /
    • 2022
  • Since the organic light-emitting diodes (OLEDs) have been widely investigated as next-generation displays, it has been successfully commercialized as a flexible and rollable display. However, there is still wide room and demand to improve the device characteristics such as power efficiency and lifetime. To solve this issue, there has been a wide research effort, and among them, the internal and the external light extraction techniques have been attracted in this research field by its fascinating characteristic of material independence. In this study, a micro-nano composite structured external light extraction layer was demonstrated. A reactive ion etching (RIE) process was performed on the surfaces of hexagonally packed hemisphere micro-lens array (MLA) and randomly distributed sphere diffusing films to form micro-nano composite structures. Random nanostructures of different sizes were fabricated by controlling the processing time of the O2 / CHF3 plasma. The fabricated device using a micro-nano composite external light extraction layer showed 1.38X improved external quantum efficiency compared to the reference device. The results prove that the external light extraction efficiency is improved by applying the micro-nano composite structure on conventional MLA fabricated through a simple process.

Characteristics of Polarization and Birefringence for Submicron a-Ge Thin Film on Quartz Substrate Formed by Focused-Ion-Beam (석영 기판 위에 집속 이온빔 기술에 의해 형성된 비정질 게르마늄 박막 미세 패턴의 편광 및 복굴절 특성)

  • Shin, Kyung;Ki, Jin-Woo;Park, Chung-Il;Lee, Hyun-Yong;Chung, Hong-Bay
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 1999.05a
    • /
    • pp.617-620
    • /
    • 1999
  • In this study, the polarization e(fecal and the birefringence effect of amorphous germanium (a-Ge) thin films were investigated by using linearly polarized He-Ne laser beam. The a-7e thin films were deposited on the quarts substrate by plasma enhanced chemical vapor deposition (PECVD) and thermal vacuum evaporation In order to obtain the optimum grating arrays, inorganci resists such as Si$_3$N$_4$ and a-Se$_{75}$ Ge$_{25}$ , were prepared with the optimized thickness by Monte Carlo (MC) simulation. As the results of MC simulation, the thickness ofa-Se$_{75}$ Ge$_{25}$ resist was determined with Z$_{min}$ of 360$\AA$ . The resists were exposed to Ga$^{+}$-FIB with accelerating energies of 50 keV, developed by wet etching, and a-Ge thin film was etched by reactive ion-etching (RIE). Finally, we were obtained grating arrays which grating width and linewidth are 0.8${\mu}{\textrm}{m}$, respectively and we studied the polarization and birefringence effect in transmission grating array made of high refractive amorphous material, and the applicability as waveplates and polarizers in optical device.e.e.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Fabrication of Large Area Transmission Electro-Absorption Modulator with High Uniformity Backside Etching

  • Lee, Soo Kyung;Na, Byung Hoon;Choi, Hee Ju;Ju, Gun Wu;Jeon, Jin Myeong;Cho, Yong Chul;Park, Yong Hwa;Park, Chang Young;Lee, Yong Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.08a
    • /
    • pp.220-220
    • /
    • 2013
  • Surface-normal transmission electro-absorption modulator (EAM) are attractive for high-definition (HD) three-dimensional (3D) imaging application due to its features such as small system volume and simple epitaxial structure [1,2]. However, EAM in order to be used for HD 3D imaging system requires uniform modulation performance over large area. To achieve highly uniform modulation performance of EAM at the operating wavelength of 850 nm, it is extremely important to remove the GaAs substrate over large area since GaAs material has high absorption coefficient below 870 nm which corresponds to band-edge energy of GaAs (1.424 eV). In this study, we propose and experimentally demonstrate a transmission EAM in which highly selective backside etching methods which include lapping, dry etching and wet etching is carried out to remove the GaAs substrate for achieving highly uniform modulation performance. First, lapping process on GaAs substrate was carried out for different lapping speeds (5 rpm, 7 rpm, 10 rpm) and the thickness was measured over different areas of surface. For a lapping speed of 5 rpm, a highly uniform surface over a large area ($2{\times}1\;mm^2$) was obtained. Second, optimization of inductive coupled plasma-reactive ion etching (ICP-RIE) was carried out to achieve anisotropy and high etch rate. The dry etching carried out using a gas mixture of SiCl4 and Ar, each having a flow rate of 10 sccm and 40 sccm, respectively with an RF power of 50 W, ICP power of 400 W and chamber pressure of 2 mTorr was the optimum etching condition. Last, the rest of GaAs substrate was successfully removed by highly selective backside wet etching with pH adjusted solution of citric acid and hydrogen peroxide. Citric acid/hydrogen peroxide etching solution having a volume ratio of 5:1 was the best etching condition which provides not only high selectivity of 235:1 between GaAs and AlAs but also good etching profile [3]. The fabricated transmission EAM array have an amplitude modulation of more than 50% at the bias voltage of -9 V and maintains high uniformity of >90% over large area ($2{\times}1\;mm^2$). These results show that the fabricated transmission EAM with substrate removed is an excellent candidate to be used as an optical shutter for HD 3D imaging application.

  • PDF

Transmission Grating Formation in High Refractive-index Amorphous Thin Films Using Focused-Ion-Beam Lithography (접속이온빔 리소그라피를 이용한 고굴절 비정질 박막 투과 격자 형성)

  • Shin, Kyung;Kim, Jin-Woo;Park, Jeong-Il;Lee, Hyun-Yong;Lee, Young-Jong;Chung, Hong-Bay
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.50 no.1
    • /
    • pp.6-10
    • /
    • 2001
  • In this study, we investigated the optical properties of sub-wavelength a-Si thin film transmission gratings, especially the polarization effect, the phase difference and the birefringence by using linearly polarized He-Ne laser beam (632.8nm). The a-Si transmission grating of the thickness $of < 0.1 \mum$ with four-type period($\Lambda = 0.4 \mum and 0.6 \mum$ for sub-wavelength and $\Lambda = 1.0 \mum and 1.4 \mum$ for above-wavelength) on quartz substrates have been fabricated using 50 KeV Ga+ Focused-Ion-Beam(FIB) Milling and $CF_4$Reactive-Ion-Etching(RIE) method. Finally, we obtained the trating array of a-Si thin film with a period $0.4 \mum, 0.6 \mum, 1.0 \mum, 1.4 \mum$ which have nearly equal finger spacing and width, sucessfully. Especially, for gratings with $\Lambda = 0.6 \mum(linewidth=0.25 \mum, linespace=0.35\mum), the \etamax at \theta_в=17.0^{\circ}$ is estimated to be 96%. As the results, we believe that the sub-wavelength grating arrayed a-Si thin film has the applicability as the optical device and components.

  • PDF

Fabrication of Probe Beam by Using Joule Heating and Fusing (절연절단법을 이용한 프로브 빔의 제작)

  • Hong, Pyo-Hwan;Kong, Dae-Young;Lee, Dong-In;Kim, Bonghwan;Cho, Chan-Seob;Lee, Jong-Hyun
    • Journal of Sensor Science and Technology
    • /
    • v.22 no.1
    • /
    • pp.89-94
    • /
    • 2013
  • In this paper, we developed a beam of MEMS probe card using a BeCu sheet. Silicon wafer thickness of $400{\mu}m$ was fabricated by using deep reactive ion etching (RIE) process. After forming through silicon via (TSV), the silicon wafer was bonded with BeCu sheet by soldering process. We made BeCu beam stress-free owing to removing internal stress by using joule heating. BeCu beam was fused by using joule heating caused by high current. The fabricated BeCu beam measured length of 1.75 mm and width of 0.44 mm, and thickness of $15{\mu}m$. We measured fusing current as a function of the cutting planes. Maximum current was 5.98 A at cutting plane of $150{\mu}m^2$. The proposed low-cost and simple fabrication process is applicable for producing MEMS probe beam.