• 제목/요약/키워드: plasma patterning

Search Result 88, Processing Time 0.038 seconds

Influence of surface geometrical structures on the secondary electron emission coefficient $({\gamma})$ of MgO protective layer

  • Park, W.B.;Lim, J.Y.;Oh, J.S.;Jeong, H.S.;Jeong, J.C.;Kim, S.B.;Cho, I.R.;Cho, J.W.;Kang, S.O.;Choi, E.H.
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2003.07a
    • /
    • pp.806-809
    • /
    • 2003
  • Ion-induced secondary electron emission coefficient $({\gamma})$. of the patterned MgO thin film with geometrical structures has been measured by ${\gamma}$ - FIB(focused ion beam) system. The patterned MgO thin film with geometrical structures has been formed by the mask (mesh of ${\sim}$ $10{\mu}m^{2})$ under electron beam evaporation method. It is found that the higher ${\gamma}$. has been achieved by the patterned MgO thin film than the normal ones without patterning.

  • PDF

The Effects of O2 Plasma Treatment on Electrical Properties of Graphene Grown by Chemical Vapor Deposition

  • Kim, Yun-Hyeong;Park, Jin-Seop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.384.2-384.2
    • /
    • 2014
  • We investigated the electrical and structural properties of chemical vapor deposition (CVD)-grown graphene and post treated by O2 plasma. For the patterning of graphene, the plasma technology is generally used and essential for etching of graphene. But, the cautious O2 plasma treatments are required to avoid the damage in graphene edge which can be the harmful effects on the device performance. To analyze the effects of plasma treatment on structural properties of graphene, the change of surface morphology of graphene are measured by scanning electron microscope and atomic force microscope before and after plasma treatment. In addition, the binding energy of carbon and oxygen are measured through to X-ray photoelectron spectroscopy. After plasma treatment, the severe changes of surface morphology and binding energy of carbon and oxygen were observed which effects on the change of sheet resistance. Finally, to analyze of graphene characteristics, we measured the Raman spectroscopy. The measured results showed that the plasma treatment makes the upward of D-peak and downward of G'-peak by elevated power of plasma.

  • PDF

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • Jang, Hae-Gyu;Kim, Dae-Gyeong;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF

Laser patterning process for a-Si:H single junction module fabrication (레이저 가공에 의한 비정질 실리콘 박막 태양전지 모듈 제조)

  • Lee, Hae-Seok;Eo, Young-Joo;Lee, Heon-Min;Lee, Don-Hee
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2007.11a
    • /
    • pp.281-284
    • /
    • 2007
  • Recently, we have developed p-i-n a-Si:H single junction thin film solar cells with RF (13.56MHz) plasma enhanced chemical vapor deposition (PECVD) system, and also successfully fabricated the mini modules ($>300cm^2$), using the laser patterning technique to form an integrated series connection. The efficiency of a mini module was 7.4% ($Area=305cm^2$, Isc=0.25A, Voc=14.74V, FF=62%). To fabricate large area modules, it is important to optimise the integrated series connection, without damaging the cell. We have newly installed the laser patterning equipment that consists of two different lasers, $SHG-YVO_4$ (${\lambda}=0.532{\mu}m$) and YAG (${\lambda}=1.064{\mu}m$). The mini-modules are formed through several scribed lines such as pattern-l (front TCO), pattern-2 (PV layers) and pattern-3 (BR/back contact). However, in the case of pattern-3, a high-energy part of laser shot damaged the textured surface of the front TCO, so that the resistance between the each cells decreases due to an incomplete isolation. In this study, the re-deposition of SnOx from the front TCO, Zn (BR layer) and Al (back contact) on the sidewalls of pattern-3 scribed lines was observed. Moreover, re-crystallization of a-Si:H layers due to thermal damage by laser patterning was evaluated. These cause an increase of a leakage current, result in a low efficiency of module. To optimize a-Si:H single junction thin film modules, a laser beam profile was changed, and its effect on isolation of scribed lines is discussed in this paper.

  • PDF

Fabrication of Micro Pattern on Flexible Substrate by Nano Ink using Superhydrophobic Effect (초발수 현상을 이용한 나노 잉크 미세배선 제조)

  • Son, Soo-Jung;Cho, Young-Sang;Rha, Jong Joo;Cho, Chul-Jin
    • Journal of Powder Materials
    • /
    • v.20 no.2
    • /
    • pp.120-124
    • /
    • 2013
  • This study is carried out to develop the new process for the fabrication of ultra-fine electrodes on the flexible substrates using superhydrophobic effect. A facile method was developed to form the ultra-fine trenches on the flexible substrates treated by plasma etching and to print the fine metal electrodes using conductive nano-ink. Various plasma etching conditions were investigated for the hydrophobic surface treatment of flexible polyimide (PI) films. The micro-trench on the hydrophobic PI film fabricated under optimized conditions was obtained by mechanical scratching, which gave the hydrophilic property only to the trench area. Finally, the patterning by selective deposition of ink materials was performed using the conductive silver nano-ink. The interface between the conductive nanoparticles and the flexible substrates were characterized by scanning electron microscope. The increase of the sintering temperature and metal concentration of ink caused the reduction of electrical resistance. The sintering temperature lower than $200^{\circ}C$ resulted in good interfacial bonding between Ag electrode and PI film substrate.

One- and Two-Dimensional Arrangement of DNA-Templated Gold Nanoparticle Chains using Plasma Ashing Method

  • Kim, Hyung-Jin;Hong, Byung-You
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.291-291
    • /
    • 2010
  • Electron-beam lithography (EBL) process is a versatile tool for a fabrication of nanostructures, nano-gap electrodes or molecular arrays and its application to nano-device. However, it is not appropriate for the fabrication of sub-5 nm features and high-aspect-ratio nanostructures due to the limitation of EBL resolution. In this study, the precision assembly and alignment of DNA molecule was demonstrated using sub-5 nm nanostructures formed by a combination of conventional electron-beam lithography (EBL) and plasma ashing processes. The ma-N2401 (EBL-negative tone resist) nanostructures were patterned by EBL process at a dose of $200\;{\mu}C/cm2$ with 25 kV and then were ashed by a chemical dry etcher at microwave (${\mu}W$) power of 50 W. We confirmed that this method was useful for sub-5 nm patterning of high-aspect-ratio nanostructures. In addition, we also utilized the surface-patterning technique to create the molecular pattern comprised 3-(aminopropyl) triethoxysilane (APS) as adhesion layer and octadecyltrichlorosilane (OTS) as passivation layer. DNA-templated gold nanoparticle chain was attached only on the sub-5 nm APS region defined by the amine groups, but not on surface of the OTS region. We were able to obtain DNA molecules aligned selectively on a SiO2/Si substrate using atomic force microscopy (AFM).

  • PDF

Fabrication and Evaluation of the Flexible and Implantable Micro Electrode (생체 삽입형 유연한 마이크로 전극의 제작 및 평가)

  • Baek Ju-Yeoul;Kwon Gu-Han;Lee Sang-Woon;Lee Ky-Am;Lee Sang-Hoon
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.55 no.2
    • /
    • pp.93-99
    • /
    • 2006
  • In this paper, we fabricated and evaluated polydimethylsiloxane(PDMS)-based flexible and implantable micro electrodes. The electrode patterning was carried out with the photolithography and chemical etching process after e-beam evaporation of 100 ATi and 1000 A Au. The PDMS substrate was treated by oxygen plasma using reactive ion etching(RIE) system to improve the adhesiveness of PDMS and metal layers. The minimum line width of fabricated micro electrode was 20 $\mu$m. After finished patterning, we did packaging with PDMS and then brought up the electrode's part about 40 $\mu$m with gold electroplating. The Hank's balanced salt solution(HBSS) test was carried out for 6 month for endurance of fabricated micro electrode. We carried out in-vivo test for the evaluation of biocompatibility by implanting electrodes under the ICR mouse skin for 42 days.

Laser Patterning of Vertically Grown Carbon Nanotubes (수직성장된 탄소나노튜브의 선택적 패터닝)

  • Chang, Won Seok
    • Transactions of the Korean Society of Mechanical Engineers B
    • /
    • v.36 no.12
    • /
    • pp.1171-1176
    • /
    • 2012
  • The selective patterning of a carbon nanotube (CNT) forest on a Si substrate has been performed using a femtosecond laser. The high shock wave generated by the femtosecond laser effectively removed the CNTs without damage to the Si substrate. This process has many advantages because it is performed without chemicals and can be easily applied to large-area patterning. The CNTs grown by plasma-enhanced chemical vapor deposition (PECVD) have a catalyst cap at the end of the nanotube owing to the tip-growth mode mechanism. For the application of an electron emission and biosensor probe, the catalyst cap is usually removed chemically, which damages the surface of the CNT wall. Precise control of the femtosecond laser power and focal position could solve this problem. Furthermore, selective CNT cutting using a femtosecond laser is also possible without any phase change in the CNTs, which is usually observed in the focused ion beam irradiation of CNTs.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Hydrogen Plasma와 Oxygen Plasma를 이용한 50 nm 텅스텐 패턴의 Oxidation 및 Reduction에 관한 연구

  • Kim, Jong-Gyu;Jo, Seong-Il;Nam, Seok-U;Min, Gyeong-Seok;Kim, Chan-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.288-288
    • /
    • 2012
  • The oxidation characteristics of tungsten line pattern during the carbon-based mask layer removal process using oxygen plasmas and the reduction characteristics of the WOx layer formed on the tungsten line surface using hydrogen plasmas have been investigated for sub-50 nm patterning processes. The surface oxidation of tungsten line during the mask layer removal process could be minimized by using a low temperature ($300^{\circ}K$) plasma processing instead of a high temperature plasma processing for the removal of the carbon-based material. Using this technique, the thickness of WOx on the tungsten line could be decreased to 25% of WOx formed by the high temperature processing. The WOx layer could be also completely removed at the low temperature of $300^{\circ}K$ using a hydrogen plasma by supplying bias power to the tungsten substrate to provide an activation energy for the reduction. When this oxidation and reduction technique was applied to actual 40 nm-CD device processing, the complete removal of WOx formed on the sidewall of tungsten line could be observed.

  • PDF