• Title/Summary/Keyword: p-dopant

Search Result 185, Processing Time 0.035 seconds

Characteristics of Shallow $P^{+}$-n Junctions Including the FA Process after RTA (RTA 후 FA 공정을 포함한 $P^{+}$-n 박막 접합 특성)

  • Han, Myeong-Seok;Kim, Jae-Yeong;Lee, Chung-Geun;Hong, Sin-Nam
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.39 no.5
    • /
    • pp.16-22
    • /
    • 2002
  • This paper suggests the optimum processing conditions for obtaining good quality $P^{+}$-n shallow junctions formed by pre-amorphization and furnace annealing(FA) to reflow BPSG(bore phosphosilicate glass). $BF_2$ions, the p-type dopant, were implanted with the energy of 20keV and the dose of 2$\times$10$^{15}$ cm$^{-2}$ into the substrates pre-amorphized by As or Ge ions with 45keV, 3$\times$$10^{14}$ $cm^{-2}$. High temperature annealings were performed with a furnace and a rapid thermal annealer. The temperature range of RTA was 950~$1050^{\circ}C$, and the furnace annealing was employed for BPSG reflow with the temperature of $850^{\circ}C$ for 40 minutes. To characterize the formed junctions, junction depth, sheet resistance and diode leakage current were measured. Considering the preamorphization species, Ge ion exhibited better results than As ion. Samples preamorphized with Ge ion and annealed with $1000^{\circ}C$ RTA showed the most excellent characteristics. When FA was included, Ge preamorphization with $1050^{\circ}C$ RTA plus FA showed the lowest product of sheet resistance and junction depth and exhibited the lowest leakage currents.

EPR and Electrical Studies in Layered Na1.9Li0.1Ti3O7 and its Copper Doped Derivatives (층상구조의 Na1.9Li0.1Ti3O7과 그 구리 혼입 유도체의 EPR 및 전기적 연구)

  • Pal, D.;Chand, Prem;Tandon, R.P.;Shripal
    • Journal of the Korean Chemical Society
    • /
    • v.49 no.6
    • /
    • pp.560-566
    • /
    • 2005
  • Sintered ceramic samples of pure and some copper doped layered sodium lithium tri-titanate ($Na_{1.9}Li_{0.1}Ti_{3-X}Cu_XO_{7-X}$) materials with different dopant molar percentages (0.0$Cu^{2+}$ at $Ti^{4+}$ sites in the lattice is proposed in this paper. Furthermore, three distinct regions have been identified in log(${\sigma}_{d.c.}T$) versus 1000/T plots. The lowest temperature region is attributed to electronic hopping conduction(polaron) for all copper doped derivatives and ionic conduction for lithium substituted $Na_2Ti_3O_7$.The mechanism of conduction in the intermediate region is associated interlayer ionic conduction and in the highest temperature region is associated modified interlayer ionic conduction.

A Study of Be Levels in p-GaSb:Be/GaAs Epitaxial Layers (p-GaSb:Be/GaAs 에피층의 Be 준위에 관한 연구)

  • Noh, S.K.;Kim, J.O.;Lee, S.J.
    • Journal of the Korean Vacuum Society
    • /
    • v.20 no.2
    • /
    • pp.135-140
    • /
    • 2011
  • By investigating photoluminescence (PL) spectra (20 K) of undoped and Be-doped p-type GaSb/GaAs epilayers, the origin has been analyzed by the change due to doping density. We have observed that the PL peak shifts to higher energy and the full-width half-maximum (FWHM) decreases with increasing the doping density below ${\sim}10^{17}cm^{-3}$, contrasted to shift to low energy and increasing FWHM above the density of ${\sim}10^{17}cm^{-3}$. From the variation of the integrated PL intensities of three peaks dissolved by Gaussian fit, it has been analyzed that, as the density increases, the $Be[Be_{Ga}]$ acceptor level (0.794 eV) reduces, whereas the intrinsic defect of $A[Ga_{Sb}]$ (0.778 eV) enhances together with a new $Be^*$ level (0.787 eV) locating between A and Be. We have discussed that it is due to coexistence of the Be acceptor level (${\Delta}E=16meV$) and the complex level (${\Delta}E=23meV$), $Be^*[Ga_{Sb}-Be_{Ga}]$combined by Be and A, in Be-doped p-GaSb, and that the level density of $Be[Be_{Ga}]$ may be reduced above ${\sim}10^{17}cm^{-3}$.

Optimum Design of Junctionless MOSFET Based on Silicon Nanowire Structure and Analysis on Basic RF Characteristics (실리콘 나노 와이어 기반의 무접합 MOSFET의 최적 설계 및 기본적인 고주파 특성 분석)

  • Cha, Seong-Jae;Kim, Kyung-Rok;Park, Byung-Gook;Rang, In-Man
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.47 no.10
    • /
    • pp.14-22
    • /
    • 2010
  • The source/channel/drain regions are formed by ion implantation with different dopant types of $n^+/p^{(+)}/n^+$ in the fabrication of the conventional n-type metal-oxide-semiconductor field effect transistor(NMOSFET). In implementing the ultra-small devices with channel length of sub-30 nm, in order to achieve the designed effective channel length accurately, low thermal budget should be considered in the fabrication processes for minimizing the lateral diffusion of dopants although the implanted ions should be activated as completely as possible for higher on-current level. Junctionless (JL) MOSFETs fully capable of the the conventional NMOSFET operations without p-type channel for enlarging the process margin are under researches. In this paper, the optimum design of the JL MOSFET based on silicon nanowire (SNW) structure is carried out by 3-D device simulation and the basic radio frequency (RF) characteristics such as conductance, maximum oscillation frequency($f_{max}$), current gain cut-off frequency($f_T$) for the optimized device. The channel length was 30 run and the design variables were the channel doping concentration and SNW radius. For the optimally designed JL SNW NMOSFET, $f_T$ and $f_{max}$ high as 367.5 GHz and 602.5 GHz could be obtained, respectively, at the operating bias condition $V_{GS}$ = $V_{DS}$ = 1.0 V).

Boron Doping Method Using Fiber Laser Annealing of Uniformly Deposited Amorphous Silicon Layer for IBC Solar Cells (IBC형 태양전지를 위한 균일하게 증착된 비정질 실리콘 층의 광섬유 레이저를 이용한 붕소 도핑 방법)

  • Kim, Sung-Chul;Yoon, Ki-Chan;Kyung, Do-Hyun;Lee, Young-Seok;Kwon, Tae-Young;Jung, Woo-Won;Yi, Jun-Sin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.456-456
    • /
    • 2009
  • Boron doping on an n-type Si wafer is requisite process for IBC (Interdigitated Back Contact) solar cells. Fiber laser annealing is one of boron doping methods. For the boron doping, uniformly coated or deposited film is highly required. Plasma enhanced chemical vapor deposition (PECVD) method provides a uniform dopant film or layer which can facilitate doping. Because amorphous silicon layer absorption range for the wavelength of fiber laser does not match well for the direct annealing. In this study, to enhance thermal affection on the existing p-a-Si:H layer, a ${\mu}c$-Si:H intrinsic layer was deposited on the p-a-Si:H layer additionally by PECVD. To improve heat transfer rate to the amorphous silicon layer, and as heating both sides and protecting boron eliminating from the amorphous silicon layer. For p-a-Si:H layer with the ratio of $SiH_4$ : $B_2H_6$ : $H_2$ = 30 : 30 : 120, at $200^{\circ}C$, 50 W, 0.2 Torr for 30 minutes, and for ${\mu}c$-Si:H intrinsic layer, $SiH_4$ : $H_2$ = 10 : 300, at $200^{\circ}C$, 30 W, 0.5 Torr for 60 minutes, 2 cm $\times$ 2 cm size wafers were used. In consequence of comparing the results of lifetime measurement and sheet resistance relation, the laser condition set of 20 ~ 27 % of power, 150 ~ 160 kHz, 20 ~ 50 mm/s of marking speed, and $10\;{\sim}\;50 {\mu}m$ spacing with continuous wave mode of scanner lens showed the correlation between lifetime and sheet resistance as $100\;{\Omega}/sq$ and $11.8\;{\mu}s$ vs. $17\;{\Omega}/sq$ and $8.2\;{\mu}s$. Comparing to the singly deposited p-a-Si:H layer case, the additional ${\mu}c$-Si:H layer for doping resulted in no trade-offs, but showed slight improvement of both lifetime and sheet resistance, however sheet resistance might be confined by the additional intrinsic layer. This might come from the ineffective crystallization of amorphous silicon layer. For the additional layer case, lifetime and sheet resistance were measured as $84.8\;{\Omega}/sq$ and $11.09\;{\mu}s$ vs. $79.8\;{\Omega}/sq$ and $11.93\;{\mu}s$. The co-existence of $n^+$layeronthesamesurfaceandeliminating the laser damage should be taken into account for an IBC solar cell structure. Heavily doped uniform boron layer by fiber laser brings not only basic and essential conditions for the beginning step of IBC solar cell fabrication processes, but also the controllable doping concentration and depth that can be established according to the deposition conditions of layers.

  • PDF

Electrochemistry for Redox Polymer Film of N,N'-bis(3-pyrrol-1-yl-propyl)-4,4'-bipyridinium Ion (N,N'-bis(3-pyrrol-1-yl-propyl)-4,4'-bipyridinium이온의 산화-환원 고분자 피막에 대한 전기화학)

  • Cha, Seong-Keuck
    • Polymer(Korea)
    • /
    • v.25 no.1
    • /
    • pp.6-14
    • /
    • 2001
  • The monomer N,N'-bis(3-pyrrol-1-yl-propyl)-4,4'-bipyridinium$(PF_6)_2$ was electrochemically polymerized on glassy carbon electrode surface. This polymer film electrode has electroactive sites on its bipyridinium ions distributed at the polymer strands. The formal potentials of the electrodes were -0.41V and -0.81V(vs. SSCE) for each step at phosphate buffer(pH=5.70). The diffusion coefficients of the dopants ions into the polymer matrix were $1.57{\times}10^{-4}$ and $4.35{\times}10^{-5}cm^2s^{-1}$ for first and second redox couple, respectively. The rate constants of electron transfer at $V^{2+/+}$ of the first step was a $57.53s^{-1}$, which was 22 times higher than $V^{+/0}$ one having $2.63s^{-1}$ in the solution. The charge transfer resistance of the polymer film was influenced by the dopant ion of the electrolyte. Thus the resistances were 22.63, 16.81, 12.44 and $11.36k{\Omega}$ for $LiClO_4,\;NaClO_4,\;KClO_4$, and phosphate buffer, respectively. The reaction order of the electropolymerization was first order and the rate constant of the polymerization was $1.31{\times}10^{-1}s^{-1}$ as determined by EQCM method. The G.C./p-BPB type electrode doped with phosphate ions showed a stability and reproducibility in CV procedure over 20 cycles.

  • PDF

Effects of Annealing on Ni/Au Ohmic Contact to Nonpolar p-type GaN

  • Lee, Dong-Min;Kim, Jae-Gwan;Yang, Su-Hwan;Kim, Jun-Yeong;Lee, Seong-Nam;Lee, Ji-Myeon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.358-359
    • /
    • 2012
  • 최근 분극 특성이 상이한 무분극 GaN 에피성장에 관한 심도 있는 연구와 함께 전자-전공 캐리어의 주입 및 캐리어의 거동, 방출되는 편광 특성 및 다양한 물리적 특성들에 대해 보고되고 있으며, 광학적 특성 및 물리적 특성의 확보를 위한 많은 연구가 활발히 진행 중이다 [1]. GaN의 ohmic 접촉(ohmic contact)의 형성은 발광 다이오드(light emitting diode), 레이저 다이오드(Laser), 태양전지(solar cell)와 같은 고신뢰도, 고효율 광전자 소자를 제조하기 위해서는 매우 중요하다 [2]. 그러나 이와 함께 병행 되어야 할 무분극 p-GaN 의 ohmic contact에 관한 연구는 많이 이루어지고 있지 않는 실정이다. 따라서 본 논문에서는 r-plane 사파이어 기판 상에 성장된 p-GaN에서의 ohmic 접촉 형성 연구를 위하여 Ni/Au ohmic 전극의 접촉저항 특성을 연구하였다. 본 실험에서는 성장된 a-plane GaN의 Hole농도가 $3.09{\times}1017cm3$ 인 시편을 사용하였다. E-beam evaporation 장비를 이용하여 Ni/Au를 각각 20 nm 그리고80 nm 증착 하였으며 비접촉저항을 측정하기 위해 Circle-Transfer Length Method (C-TLM) 패턴을 사용하였다. 샘플은 RTA (Rapid Thermal Annealing)를 사용하여 $300^{\circ}C$에서 $700^{\circ}C$까지 온도를 변화시키며 전기적 특성을 비교하여 그림 1(a) 나타내었다. 그림에서 알 수 있듯이 $400^{\circ}C$에서 가장 낮은 비접촉저항 값인 $6.95{\times}10-3{\Omega}cm2$를 얻을 수 있음을 발견하였다. 이 때의 I-V curve 도 그림1(b)에 나타낸 바와 같이 열처리에 의해 크게 향상됨을 알 수 있다. 그러나, $500^{\circ}C$ 이상 온도를 증가시키면 다시 비접촉 저항이 증가하는 것을 관찰하였다. XRD (x-Ray Diffraction) 분석을 통하여 $400^{\circ}C$ 이상열처리 온도가 증가하면 금속 표면에 $NiO_2$가 형성되며, 이에 따라 오믹특성이 저하 된다고 사료된다. 또한 $Ni_3N$의 존재를 확인 하였으며 이는 nonpolar surface의 특성으로 인해 nitrogen out diffusion 현상이 동시에 발생하여 계면에는 dopant로 작용하는 질소 공공을 남기고 표면에 $Ni_3N$을 형성하여 ohmic contact의 특성이 저하되기 때문인 것으로 사료된다.

  • PDF

Behavior of Implanted Dopants and Formation of Molybdenum Siliclde by Composite Sputtering (Composite target으로 증착된 Mo-silicide의 형성 및 불순물의 거동)

  • Cho, Hyun-Choon;Paek, Su-Hyon;Choi, Jin-Seog;Hwang, Yu-Sang;Kim, Ho-Suk;Kim, Dong-Won;Shim, Tae-Earn;Jung, Jae-Kyoung;Lee, Jong-Gil
    • Korean Journal of Materials Research
    • /
    • v.2 no.5
    • /
    • pp.375-382
    • /
    • 1992
  • Molybdenum silicide films have been prepared by sputtering from a single composite MoS$i_2$ source on both P, B$F_2$respectively implanted (5${\times}10^{15}ions/cm^2$ single crystal and P implanted (5${\times}10^{15}ions/cm^2$) polycrystalline silicon substrates followed by rapid thermal annealing in the ambient of argon. The heat treatment temperatures have been varied in the range of 600-l20$0^{\circ}C$ for 20 seconds. The properties of Mo-silicide and the diffusion behaviors of dopant after the heat treatment are investigated using X-ray diffraction, scanning electron microscopy(SEM) , secondary ions mass spectrometry(SIMS), four-point probe and $\alpha-step.$ Annealing at 80$0^{\circ}C$ or higher resulted in conversion of the amorphous phase into predominantly MoS$i_2$and a lower sheet resistance. There was no significant out-diffusion of dopants from both single crystal and polycrystalline silicon substrate into molybdenum silicide layers during annealing.

  • PDF

p-Type AlN epilayer growth for power semiconductor device by mixed-source HVPE method (혼합소스 HVPE 방법에 의한 전력 반도체 소자용 p형 AlN 에피층 성장)

  • Lee, Gang Seok;Kim, Kyoung Hwa;Kim, Sang Woo;Jeon, Injun;Ahn, Hyung Soo;Yang, Min;Yi, Sam Nyung;Cho, Chae Ryong;Kim, Suck-Whan
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.29 no.3
    • /
    • pp.83-90
    • /
    • 2019
  • In this paper, Mg-doped AlN epilayers for power semiconductor devices are grown by mixed-source hydride vapor phase epitaxy. Magnesium is used as p-type dopant material in the grown AlN epilayer. The AlN epilayers on the GaN-templated sapphire substrate and GaN-templated-patterned sapphire substrate (PSS), respectively, as the base substrates for device application, were selectively grown. The surface and the crystal structures of the AlN epilayers were investigated by field emission scanning electron microscopy (FE-SEM) and high-resolution-X-ray diffraction (HR-XRD). From the X-ray photoelectron spectroscopy (XPS) and Raman spectra results, the p-type AlN epilayers grown by using the mixed-source HVPE method could be applied to power devices.

An evaluation on crystallization speed of N doped $Ge_2Sb_2Te_5$ thin films by nano-pulse illumination (나노-펄스 노출에 따른 질소 첨가한 $Ge_2Sb_2Te_5$ 박막의 결정화 속도 평가)

  • Song, Ki-Ho;Beak, Seung-Cheol;Park, Heung-Su;Lee, Hyun-Yong
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.134-134
    • /
    • 2009
  • In this work, we report that crystallization speed as well as the electrical and optical properties about the N-doped $Ge_2Sb_2Te_5$ thin films. The 200-nm-thick N-doped $Ge_2Sb_2Te_5$ thin film was deposited on p-type (100) Si and glass substrate by RF reactive sputtering at room temperature. The amorphous-to-crystalline phase transformation of N-doped $Ge_2Sb_2Te_5$ thin films investigated by X-ray diffraction (XRD). Changes in the optical transmittance of as-deposited and annealed films were measured using a UV-VIS-IR spectrophotometer and four-point probe was used to measure the sheet resistance of N-doped $Ge_2Sb_2Te_5$ thin films annealed at different temperature. In addition, the surface morphology and roughness of the films were observed by Atomic Force Microscope (AFM). The crystalline speed of amorphous N-doped $Ge_2Sb_2Te_5$ films were measured by using nano-pulse scanner with 658 nm laser diode (power : 1~17 mW, pulse duration: 10~460 ns). It was found that the crystalline speed of thin films are decreased by adding N and the crystalline temperature is higher. This means that N-dopant in $Ge_2Sb_2Te_5$ thin film plays a role to suppress amorphous-to-crystalline phase transformation.

  • PDF