• Title/Summary/Keyword: mask process

Search Result 686, Processing Time 0.028 seconds

Fabrication and Characterizations of Nickel Metal Mask with fine Pitch by Additive Process (Additive 공정을 이용한 미세 피치용 니켈 메탈마스크의 제조 및 특성평가)

  • Park, Eui-Cheol;Lim, Jun-Hyung;Kim, Kyu-Tae;Park, Si-Hong;Hwang, Soo-Min;Shim, Jong-Hyun;Jung, Seung-Boo;Kim, Bong-Soo;Joo, Jin-Ho
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.11
    • /
    • pp.925-931
    • /
    • 2007
  • We successively fabricated the Ni metal mask by additive method and evaluated the effects of wetting agents addition on the microstructure, hardness, and friction coefficient. In the process, the additive patterns with fine hole and pitch were made by photolithography technique and subsequently Ni plate was electroformed on the patterns. We found that the microstructure and mechanical properties were significantly varied when the different combinations of the wetting agents were used. When the wetting agents of both SF-1 and SF-2 were added, the microstructure consisted of crystal and amorphous phases, the grain size reduced to 5-40 nm, the RMS value decreased to 11.4 nm and the wear resistance improved. In addition, the hardness was as high as 638 Hv which is higher than that of commercial stainless steel mask and this improvement is probably due to the presence of amorphous Phase and fine grain size. The improvement of the wear resistance can provide a higher reliability and a longer service life.

Development of Polygonal Model for Shape-Deformation Analysis of Amorphous Carbon Hard Mask in High-Density Etching Plasma (고밀도 식각 플라즈마에서 비정질 탄소 하드 마스크의 형상 변형 해석을 위한 다각형 모델 개발)

  • Song, Jaemin;Bae, Namjae;Park, Jihoon;Ryu, Sangwon;Kwon, Ji-Won;Park, Taejun;Lee, Ingyu;Kim, Dae-Chul;Kim, Jong-Sik;Kim, Gon-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.21 no.4
    • /
    • pp.53-58
    • /
    • 2022
  • Shape changes of hard mask play a key role in the aspect ratio dependent etch (ARDE). For etch process using high density and energy ions, deformation of hard mask shape becomes more severe, and high aspect ratio (HAR) etch profile is distorted. In this study, polygonal geometric model for shape-deformation of amorphous carbon layered hard mask is suggested to control etch profile during the process. Mask shape is modeled with polygonal geometry consisting of trapezoids and rectangles, and it provides dynamic information about angles of facets and etched width and height of remained mask shape, providing important features for real-time HAR etch profiling.

A Study on the Etching of SUS MASK using Automatic Liquid Management System (자동액관리 시스템을 이용한 SUS MASK 에칭에 관한 연구)

  • Lee, Woo-Sik
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.14 no.4
    • /
    • pp.323-327
    • /
    • 2021
  • This paper produced SUS MASK, which is used for OLEDs, using an automatic liquid management system. The SUS MASK was tested by setting the hole diameter to 0.4 mm. The additive F300 was found to be excellent as the hole diameter was close to 0.4 mm and the error range was measured to be 0.08 on average. And as a result of measuring the weight reduction amount of CuCl2 and FeCl3 according to the change in oxidation-reduction potential (ORP), FeCl3 is relatively sensitive to ORP changes. Experiments were conducted on whether ORP (610 mV) and specific gravity (1.463) were automatically controlled while continuously etching the SUS Mask. Experimental results show that the automatic liquid management system is well controlled because the setting value is not significantly changed. After setting the hole diameter to 0.4 mm as the target, the experiment results were measured from 0.36 to 0.44. Therefore, it is expected that etching processing in the manufacturing process of SUS MASK can be improved with higher precision by applying the manufactured automatic liquid management system.

Analysis of Post Cleaning Solution After Wet Cleaning of Shadow Mask Used in OLED Process (OLED공정에서 사용되는 섀도마스크의 습식 세정 후 세정표면 및 세정용액 분석에 관한 연구)

  • Cui, Yinhua;Pyo, Sung Gyu
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.23 no.4
    • /
    • pp.7-10
    • /
    • 2016
  • The post cleaning method for clean the shadow mask using in OLED (organic light emitting diode) emitter layer is always reforming. The cleaning solution and analysis method of shadow mask is still lack and not optimized. We use the simple and useful analytical method to determine the quantity and quality of organic and inorganic residue on surface of shadow mask. Finally analyze the cleaning solution using Raman spectroscopy efficiently.

Improvement of Ion Beam Resolution in FIB Process by Selective Beam Blocking (선택적 빔 차단을 통한 집속이온빔 가공 정밀도 향상)

  • Han, Min-Hee;Han, Jin;Kim, Tae-Gon;Min, Byung-Kwon;Lee, Sang-Jo
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.27 no.8
    • /
    • pp.84-90
    • /
    • 2010
  • In focused ion beam (FIB) fabrication processes the ion beam intensity with Gaussian profile has a drawback for high resolution machining. In this paper, the fabrication method to modify the beam profile at substrate using silt mask is proposed to increase the machining resolution at high current. Slit mask is utilized to block the part of beam and transmit only high intensity portion. A nano manipulator is utilized to handle the silt mask. Geometrical analysis on fabricated profile through silt mask was conducted. By utilizing proposed method, improvement of machining resolution was achieved.

A Study on the Process Quality Level of K5 Gas Mask (K5 방독면 공정품질 수준에 관한 연구)

  • Kim, Suk Ki;Byun, Kisik;Lee, Sang Yeob;Park, Jae Woo;In, Chi Yeon
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.22 no.1
    • /
    • pp.74-80
    • /
    • 2021
  • This study investigated the process quality level of a K5 gas mask, which recently acquired its operational capability, through statistical process analysis for the mass production stages and their lots. The tensile adhesion strength was the only operating requirement in the manufacturing process of the K5 gas mask. For this purpose, the results of tensile adhesion strength between the lens and facial rubber during the initial and second mass production stages were analyzed using conventional statistical and statistical process analysis methods. The conventional statistical results indicated that the second mass production stage was better than the initial mass production stage. In cases of a control chart and process capability of tensile adhesion strength, the process quality level was also improved by following the mass production stages. The improvement was caused by process stabilization and work skill elevation. These results and methods are expected to be conducted and utilized in the third mass production stage. Moreover, quality improvement of K5 gas mask mass production can be achieved using the Lean 6 sigma procedure, MDAIC (Define, Measure, Analyze, Improve, Control).

A study of disposable micro dust-mask design for bicycle users (자전거 이용자를 위한 일회용 미세먼지 마스크 디자인 연구)

  • Kwon, Jun Ho
    • Journal of Digital Convergence
    • /
    • v.16 no.12
    • /
    • pp.571-577
    • /
    • 2018
  • Bicycle riders complain of many inconveniences when wearing glasses, sunglasses and masks. The disposable fine dust mask has been developed to resolve such inconveniences of bicycle riders. The scope of research is limited to the fiber which generates one-time static electricity and the shape of the mask due to the characteristic of fine dust mask. The purpose is to design and make the fine dust mask with a simple production process. The new disposable fine dust mask has secured enough space of the mask in front of the mouth by longitudinal folding and minimized stuffiness by maintaining the shape of the folded part to prevent touching the mouth even by heavy breathing. The streamlined sponge is attached at the part of nose support and the area of the cheek has been expanded to be about 2.5cm wider than ordinary masks to improve tight seal at the side. In addition, a new disposable fine dust scarf mask has been developed to block ultraviolet rays for the face and neck while filtering fine dust with the tight fine dust mask.

The Consolidation and Comparison Processes in Visual Working Memory Tested under Pattern-Backward Masking (역행 차폐를 통해 본 시각작업기억의 공고화 및 비교처리 과정)

  • Han, Ji-Eun;Hyun, Joo-Seok
    • Korean Journal of Cognitive Science
    • /
    • v.22 no.4
    • /
    • pp.365-384
    • /
    • 2011
  • A recent study of visual working memory(VWM) under a change detection paradigm proposed an idea that the comparison process of VWM representations against incoming perceptual inputs can be performed more rapidly than the process of forming durable memory representations into VWM. To test this hypothesis, we compared the size of interference effect caused by pattern-backward masks following after either the sample(sample-mask condition) or test items (test-mask condition). In Experiment 1, subjects performed a color change detection task for four colored-boxes, and pattern masks with mask-onset asynchronies(MSOA) of either 64ms or 150ms followed each item location either after the sample or after the test items. The change detection accuracy was both comparable in the sample-mask condition regardless of the MSOAs, whereas the accuracy in the trials with a MSOA of 150ms was substantially higher than the MSOA of 65ms in the test-masking condition. In Experiment 2, we manipulated setsizes to 1, 2, 3, 4 items and also MSOAs to 117ms, 234ms, 350ms, 484ms and compared the pattern of interference across a variety of setsize and MSOA conditions. The sample-mask condition yielded a pattern of masking interference which became more evident as the setsize increases and as the MSOA was shorter. However, this pattern of interference was less apparent in the test-mask condition. These results indicate that the comparison process between remembered items in VWM and perceptual inputs is less vulnerable to interference from pattern-backward masking than VWM consolidation is, and thus support for the recent idea that the comparison process in VWM can be performed very fast and accurately.

  • PDF

Developing improvement technology in pre-etching process for the Shadow Mask quality of flat color TV

  • Park, Jong-Moo;Park, Kwang-Ho;Jung, Hyo-Jin
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2003.07a
    • /
    • pp.1164-1167
    • /
    • 2003
  • Recently CRT is getting flatted, As change of CRT trend from normal type to Flat type, the material of Shadow Mask was also changed from AK(Aluminum Killed) to Invar(Fe-Ni alloy) materials Until now we have used just AK(Aluminum Killed) for normal type TV(not flat type), but main raw material of shadow mask component was changed. . However recently Invar(Fe-Ni alloy) materials, which has advantage of Low Thermal Expansion and High Strength, has been developed as well as applying in mass production as CRT's trend has become more flat and fine pitch. As main raw material of shadow mask component was changed, conditions of process were changed. One of them, the importance of pre-etching process (assistant process for developing & etching) is improved because there are so many particles in the pre-etching bath because of Ni compounds. Since the solubility of Ni in pre-etching solvent is very low related to Fe's, so the compounds of Ni happen to make particles.(the solubility of Fe is twenty times Ni's) that particles happen to make process troubles and NG productions so to clear the particles we had to established high cost filtering system, but it is useless. As time goes by the quantity of particles (Ni compounds) was increased because of the capability of filtering system was not enough, the particles was produced continuous in bath, and it make quality problems. Hence we tried to develop the new pre-etching solution to remove the particles (Ni compounds) and to cost down the filtering system's running cost. But in improving the solution we discovered the new pre-etching solution made the PR developing better. In former solution there were three kinds of chemistry (COOH)2 , H2O2 , H2S04 .first the function of (COOH)2 is drilling the surface of Invar, during this mechanism Ni compounds occurred. Second the function of H202 is removing the PR fringe (half UV exposure zone on PR(PVA)), Third the function of H2S04 is the catalysis of (COOH)2 In those, (COOH)2 was the main reason to make the Ni compounds. So to improve the solutions we had to change (COOH)2 to the other material. the chemistry we improved was a complex chemistry based on H2S04 . after using this chemistry the particles problem was disappeared and there was another advantage cut down the PR fringe. The New solution made the function of H202 better so the PR developing improved. To be direct the catalyst of the new solution helped the H202. anyway First thing after change the solution the quality of shadow Mask for flat color TV was improved & the yield also improved. But the more important thing is how to control the new solution. So we accepted the new concept which was the degree of freshness. The degree of freshness is based on non-reacted solution which was 100% ( the degree of freshness) and calculated the melted Ni quantity as time goes by. So we made the gauging liner plot. In conclusion, many companies tried to make fine pitched Shadow Mask ,generally to make quality jump up it needed a lot of cost & persons .in this case the shift of core material made it possible.

  • PDF