• 제목/요약/키워드: lithography process

검색결과 550건 처리시간 0.026초

Enhancement of Pattern Fidelity for Metal Layer in Attenuated PSM Lithography by OPC

  • Lee Hoong Joo;Lee Jun Ha
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 2004년도 학술대회지
    • /
    • pp.784-786
    • /
    • 2004
  • Overlap errors and side-lobes can be simultaneously solved by the rule-based correction using scattering bars with the rules extracted from test patterns. Process parameters affecting the attPSM lithography simulation have been determined by the fitting method to the process data. Overlap errors have been solved applying the correction rules to the metal patterns overlapped with contact/via. Moreover, the optimal insertion rule of the scattering bars has made it possible to suppress the side-lobes and to get additional pattern fidelity at the same time.

  • PDF

A SDR/DDR 4Gb DRAM with $0.11\mu\textrm{m}$ DRAM Technology

  • Kim, Ki-Nam
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제1권1호
    • /
    • pp.20-30
    • /
    • 2001
  • A 1.8V $650{\;}\textrm{mm}^2$ 4Gb DRAM having $0.10{\;}\mu\textrm{m}^2$ cell size has been successfully developed using 0.11 $\mu\textrm{m}$DRAM technology. Considering manufactur-ability, we have focused on developing patterning technology using KrF lithography that makes $0.11{\;}\mu\textrm{m}$ DRAM technology possible. Furthermore, we developed novel DRAM technologies, which will have strong influence on the future DRAM integration. These are novel oxide gap-filling, W-bit line with stud contact for borderless metal contact, line-type storage node self-aligned contact (SAC), mechanically stable metal-insulator-silicon (MIS) capacitor and CVD Al process for metal inter-connections. In addition, 80 nm array transistor and sub-80 nm memory cell contact are also developed for high functional yield as well as chip performance. Many issues which large sized chip often faces are solved by novel design approaches such as skew minimizing technique, gain control pre-sensing scheme and bit line calibration scheme.

  • PDF

분자동역학기법을 이용한 나노 임프린트 리소그래피 공정에서의 고분자 변형모사 (Deformation of Polymer Resist in NIL Process by Molecular Dynamic Simulation)

  • 우영석;이우일
    • 대한기계학회:학술대회논문집
    • /
    • 대한기계학회 2007년도 춘계학술대회A
    • /
    • pp.337-342
    • /
    • 2007
  • In this study, molecular dynamics simulation of nano imprint lithography in which patterned stamp is pressed onto amorphous polyethylene(PE) surface are performed to study the behaviour of polymer. Force fields including bond, angle, torsion, and Lennard Jones potential are used to describe the inter-molecular and intra-molecular force of PE molecules and stamp, substrate. Periodic boundary condition is used in horizontal direction and canonical NVT ensemble is used to control the system temperature. As the simulation results, the behaviour of polymer is investigated during the imprinting process. The mechanism of polymer deformation is studied by means of inspecting the surface shape, volume, density, atom distribution. Deformation of the polymer resist was found for various of the stamp geometry and the alignment state of the polymer molecules.

  • PDF

플라즈마 공정을 이용한 나노미터 단위의 진공리소그래피 (Nanometer Scale Vacuum Lithography using Plasma Processes)

  • 김성오;박복기;박진교;이경섭;이진;육재호;나동근;이덕출
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 하계학술대회 논문집 D
    • /
    • pp.1343-1345
    • /
    • 1998
  • This work was carried out to develop a pattern on the nanometer scale using plasma polymerization and plasma etching. This study is also aimed at developing a resist for the nano process and a vacuum lithography process. The thin films of plasma polymerization were fabricated by the plasma polymerization of inter-electrode capacitively coupled gas flow system. After delineating the pattern at accelerating voltage of 30[kV], ranging the dose of $1-500[{\mu}C/cm^2$], the pattern was developed with dry type and formed by plasma etching.

  • PDF

UV 나노임프린트 리소그래피용 UV 투과성 나노스탬프 제작 (UV transparent stamp fabrication for UV nanoimprint lithography)

  • 정준호;심영석;손현기;신영재;이응숙;허익범;권성원
    • 대한기계학회:학술대회논문집
    • /
    • 대한기계학회 2003년도 춘계학술대회
    • /
    • pp.1069-1072
    • /
    • 2003
  • Ultraviolet-nanoimprint lithography (UV-NIL) is a promising nanoimprint method for cost-effectively defining nanometer scale structures at room temperature and low pressure. Nanostamp fabrication technology is a key technology for UV-NIL because fabricating a high resolution nanostamp is the first step for defining high resolution nanostructures in a substrate. We used quartz as an UV transparent stamp material for the UVNIL. A $5{\times}5{\times}0.09$ inch stamp was fabricated using the quartz etch process in which Cr film was used as a hard mask for transferring nanostructures into the quartz. In this paper, we describe the quartz etching process and discuss the results including SEM images.

  • PDF

나노 임프린트 리소그라피에 의한 마스터 복제 공정 (Fabrication of Master Replication by Nanoimprint Lithography)

  • 정명영
    • 대한기계학회:학술대회논문집
    • /
    • 대한기계학회 2003년도 춘계학술대회
    • /
    • pp.1078-1082
    • /
    • 2003
  • A feasibility study for the fabrication of master replication with nanostructures by Nanoimprint Lithography (NIL) was investigated for application of polymer Photonic Bandgap (PBG) devices used in photonic IC. Large area gratings of $9{\times}15(mm^2)$ with p = 400 nm was successfully embossed on PMMA on silicon wafer and the embossing parameters (temperature, pressure, time) were established. A precise control of $O_2$ plasma Reactive Ion Etching (RIE) process time allowed window opening over the whole area despite the presence of wafer bending. Master replication with aspect ratio 1 was successfully fabricated, but master replication with aspect ratio 3 needs to optimize parameters. All replications were done in a NIL process.

  • PDF

LIGA공정을 이용한 정밀 고분자 광도파로 제작 (Fabrication of Polymeric Optical Waveguide by LIGA)

  • 김진태;김병철;최춘기;윤근병;정명영
    • 대한기계학회논문집A
    • /
    • 제27권6호
    • /
    • pp.997-1006
    • /
    • 2003
  • LICA technique evolved as a basic fabrication process fur micro-structure. The present report deals with the basic technological features in the sequence of the LIGA technique such as deep x-ray lithography(DXRL), electroplating, and moulding processes at Pohang Light Source (PLS). We designed 3-D structured master for fabrication of polymeric optical wavegude and manufactured polymeric optical wavegude with the same using hot embossing process. Polymeric optical waveguide could be produced with ${\pm}$ 1 $\mu\textrm{m}$ accuracy and good surface roughness.

나노패턴 구현을 위한 $\mu$CP 공정기술 ($\mu$CP Process Technology for Nanopattern Implementation)

  • 조정대;신영재;김광영
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2003년도 춘계학술대회 논문집
    • /
    • pp.624-627
    • /
    • 2003
  • Microcontact printing (uCP) of alkanethiols on gold was the first representative of soft-lithography processes. This is an attempt to enhance the accuracy of classical to a precision comparable with optical lithography, creating a low-cost, large-area, and high-resolution patterning process. Microcontact printing relies on replication of a pattered PDMS stamp from a master to form an elastic stamp that can be inked with a SAM solution(monolayer -forming ink) using either immersion inking or contact inking. The inked PDMS stamp is then used to print a pattern that selectively protects the gold substrate during the subsequent etch.

  • PDF

초소형 정밀 가공 기술 및 이를 이용한 정밀 사출품 제작 기술 (LIGA Technology and Its Application to Micro-molding)

  • 박순섭;정석원;조진우;제태진;권태헌
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2000년도 춘계학술대회 논문집
    • /
    • pp.1043-1046
    • /
    • 2000
  • By combination of X-ray lithography, electroplating and molding process, various microstructures have been produced. Specially, the alignment grooves for multi-fibers with submicron accuracy have been produced. This paper described a fabrication process of LICA molds and micro molding technique by using LIGA molds. The accuracy of the fabricated LIGA molds was less than 0.4${\mu}{\textrm}{m}$. The injection molded alignment grooves were very precise and had me surface roughness so that they could be successfully applicable to optical systems.

  • PDF

Photo lithography을 이용한 플라즈마 에칭 가공특성에 관한 연구 (A study on processing characteristics of plasma etching using photo lithography)

  • 백승엽
    • Design & Manufacturing
    • /
    • 제12권1호
    • /
    • pp.47-51
    • /
    • 2018
  • As the IT industry rapidly progresses, the functions of electronic devices and display devices are integrated with high density, and the model is changed in a short period of time. To implement the integration technology, a uniform micro-pattern implementation technique to drive and control the product is required. The most important technology for the micro pattern generation is the exposure processing technology. Failure to implement the basic pattern in this process cannot satisfy the demands in the manufacturing field. In addition, the conventional exposure method of the mask method cannot cope with the small-scale production of various types of products, and it is not possible to implement a micro-pattern, so an alternative technology must be secured. In this study, the technology to implement the required micro-pattern in semiconductor processing is presented through the photolithography process and plasma etching.