• 제목/요약/키워드: in-order execution

검색결과 881건 처리시간 0.047초

토마술로 알고리즘을 이용하는 비순차실행 프로세서의 설계 및 모의실행 (The Design and Simulation of Out-of-Order Execution Processor using Tomasulo Algorithm)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제20권4호
    • /
    • pp.135-141
    • /
    • 2020
  • 오늘날 서버, 데스크탑, 노트북과 같은 범용 컴퓨터뿐만이 아니라, 가전, 임베디드 시스템에서 중앙처리장치는 대부분 멀티코어 프로세서로 구성된다. 멀티코어 프로세서의 성능향상을 위하여, 토마술로 알고리즘을 적용한 비순차실행 프로세서를 각 코어 프로세서로 이용하는 것이 요구된다. 토마술로 알고리즘을 적용한 비순차실행 프로세서는 명령어 간의 종속성이 없고 피연산자가 준비된 명령어를 순서와 관계없이 먼저 실행하고, 분기어 너머로 예측실행을 수행함으로써, 모든 명령어를 순서대로 실행하는 순차실행 프로세서보다 성능을 크게 높일 수가 있다. 본 논문에서는 VHDL의 레코드 데이터형을 이용하여 토마술로 알고리즘을 이용하는 비순차실행 프로세서를 설계하고, GHDL로 검증하였다. 모의실험 결과, ARM 명령어로 구성된 프로그램에 대한 연산을 성공적으로 수행할 수 있었다.

시뮬레이션 기법을 이용한 프로세러 할당 알고리즘들의 성능비교 (Performance Comparisons on Processor Allocation Algorithms by Using Simulation Techniques)

  • 최준구
    • 한국시뮬레이션학회논문지
    • /
    • 제3권1호
    • /
    • pp.43-53
    • /
    • 1994
  • With remarkable progress of hardware technologies, multiprocessor systems equipped with thousands of processors will be available in near future. In order to increase the performance of these systems, many processor allocation algorithms have been proposed. However, few studies have been conducted in order to compare the performance of these algorithms. In this paper, simulation techniques are used in order to compare the performance of the processor allocation algorithms proved to be useful. These are: an algorithm using equipartion, an algorithm using average parallelism, an algorithm using execution signatures, and an algorithm using the number of tasks in a task precedence graph. Simulation shows that the algorithm using execution signatures performs best while the algorithm using average parallelism performs worst with small allocated processors. Surprisingly, the algorithm using equipartition performs well despite the fact that it has smallest overhead. Overall, it can be recommended that the algorithm using equipartition be used without any execution history and that the algorithm using execution signatures be used with some execution history.

  • PDF

다중블럭을 실행하는 멀티코어 비순차 수퍼스칼라 프로세서의 성능 분석 (Performance Analysis of Multicore Out-of-Order Superscalar Processor with Multiple Basic Block Execution)

  • 이종복
    • 한국멀티미디어학회논문지
    • /
    • 제16권2호
    • /
    • pp.198-205
    • /
    • 2013
  • 본 논문에서는 다중블럭 실행을 이용하는 멀티코어 비순차 수퍼스칼라 프로세서 아키텍쳐의 성능을 분석하였다. 이것을 위하여 SPEC 2000 벤치마크를 입력으로 하며, 윈도우 크기가 32와 64이고 1개에서 4개의 다중블럭을 실행하는 멀티코어 비순차 수퍼스칼라 프로세서에 대하여 1 코어에서 16 코어까지 광범위한 모의실험을 수행하였다. 모의실험 결과, 4개의 다중블럭을 실행하는 멀티코어 비순차 수퍼스칼라 프로세서는 같은 사양에서 단일 블럭을 실행할 때보다 평균 22.0%의 성능 향상을 가져왔다.

E-Net을 이용한 Heterarchical SFCS 실행 모듈 개발 (Development of Heterarchical SFCS Execution Module using E-Net)

  • 홍순도;조현보;정무영
    • 대한산업공학회지
    • /
    • 제25권1호
    • /
    • pp.87-99
    • /
    • 1999
  • A shop floor control system(SFCS) performs the production activities required to fill orders. In order to effectively control these activities, the autonomous agent-based heterarchical shop floor control architecture is adopted where a supervisor does not exist. In this paper, we define functional perspective of the heterarchical shop floor control using planning, scheduling, and execution modules. In particular, we focus on an execution module that can coordinate the planning and scheduling modules and a general execution module that easily can be modified to execute the other equipment. The execution module can be defined informally as a module that downloads and performs a set of scheduled tasks. The execution module is also responsible for identifying and resolving various errors whether they come from hardware or software. The purpose of this research is to identify all the execution activities and solving techniques under the assumptions of the heterarchical control architecture. And we model the execution module in object-oriented modelling technique for generalization. The execution module modeled in object-oriented concept can be adopted to the other execution module easily. This paper also proposes a classification scheme for execution activities of the heterarchical control architecture. Petri-nets are used as a unified framework for modeling and controlling execution activities. For solving the nonexistence of a supervisor, a negotiation-based solution technique is utilized.

  • PDF

소스코드와 실행코드의 상관관계 분석을 통한 최악실행시간 측정 방법 (Measuring Method of Worst-case Execution Time by Analyzing Relation between Source Code and Executable Code)

  • 서용진;김현수
    • 인터넷정보학회논문지
    • /
    • 제17권4호
    • /
    • pp.51-60
    • /
    • 2016
  • 내장 소프트웨어는 실시간성 및 실행 환경으로부터의 독립성을 요구사항으로 갖는다. 실시간성 요구사항은 탑재된 태스크의 최악 실행 시간으로부터 영향을 받는다. 따라서 실시간성을 보장하기 위해서는 정적 분석 기반의 최악 실행 시간 분석 방법을 사용하여 프로그램의 최악 실행 시간을 파악하여야 한다. 그러나 기존의 최악 실행 시간 분석은 실행 환경으로부터 독립성을 고려하지 않는다. 이에 우리는 실행 환경으로부터 독립성을 제공하기 위해 소스코드로부터 실행 시간을 측정하는 방법을 제시한다. 이를 위해 실행 코드가 아닌 소스코드로부터 생성된 제어 흐름 그래프를 통해 실행 시간을 측정한다. 또한 소스코드로부터 생성된 제어 흐름 그래프에는 실행 시간 정보가 존재하지 않기 때문에, 이를 제공하기 위해 소스코드의 문장과 실행코드의 명령어와의 관계를 분석한다. 결과적으로 실행 시간 측정이 가능한 제어 흐름 그래프를 생성할 수 있다. 이를 통해 프로세서로부터 종속적인 부분을 매개변수화할 수 있기 때문에, 최악 실행 시간 분석 도구의 유연성을 향상시킬 수 있다.

WT-Heuristics: 스트림 데이터 환경에서의 효율적인 필터 연산자 순서화 기법 (WT-Heuristics: An Efficient Filter Operator Ordering Technology in Stream Data Environments)

  • 민준기
    • 정보처리학회논문지D
    • /
    • 제15D권2호
    • /
    • pp.163-170
    • /
    • 2008
  • 인터넷과 인트라넷의 확산에 따라, 스트림 데이터 처리 (stream data processing) 와 같은 새로운 분야가 등장하게 되었다. 스트림 데이터는 실시간적이고 연속적으로 생성된다. 본 논문에서는 시간에 따라서 예측할 수 없게 특성이 바뀌는 데이터 스트림에 대한 처리에 대하여 다룬다. 특별히, 본 논문에서는 스트림 데이터에 대한 질의문을 구성하는 연산자들 간의 효율적인 수행 순서 생성 기법인 WT-Heuristics를 제안한다. WT-Heuristics 기법은 연산 실행 순서에서 인접한 두 연산자들의 연산 순서만을 고려함으로써 효율적으로 연산자 순서를 결정할 수 있다. 또한 본 논문에서 제안하는 방법은 시스템의 부담을 적게 주면서도 데이터의 변화에 따라 수행 순서를 변화시킨다.

창조경영 실행체계 개발에 관한 탐색적 연구 (An Exploratory Study on the Development of a Practical Execution System for Creativity Management)

  • 김선민;오형술;성백서
    • 산업경영시스템학회지
    • /
    • 제34권1호
    • /
    • pp.14-24
    • /
    • 2011
  • Though many researchers have interested in the effects of creativity management on the business performance, few have researched the relationship between management execution systems for creativity management and the performance. This paper tried to identify the relationship between management execution systems for creativity management and the performance by using 181 Korean companies' survey data. In this paper, a creative management execution system is modelled by the six criteria that are widely used in the Malcolmn Baldrige National Quality Award, and the performance is measured by a composite variable called by business capability. Through an analysis of survey data using factor analysis and regression analysis, this paper tried to answer two research questions: Firstly, does creative management execution systems have the characteristics of multi-dimensionality? Secondly, does creative management execution systems have an impact on the firm's performance? It was found that a creative management execution system largely consists of two parts, which are called 'system factor' and 'management support factor', and system factors have a more strong impact on the performance. The contribution of this paper is in suggesting that establishing a systematic creative management execution system is required in order to efficiently manage for creativity.

A petri-net based execution model of processing equipment for CSCW-based shop floor control in agile manufacturing

  • Hong, Soondo;Cho, Hyuenbo;Jung, Mooyoung
    • 한국경영과학회:학술대회논문집
    • /
    • 대한산업공학회/한국경영과학회 1995년도 춘계공동학술대회논문집; 전남대학교; 28-29 Apr. 1995
    • /
    • pp.193-200
    • /
    • 1995
  • A shop floor control system(SFCS), a central part of agile manufacturing, performs the production activities required to fill orders. In order to effectively control these activities, CSCW (computer supported cooperative work) is adopted where a supervisor does not exist. In this paper, we define functional perspective of CSCW-based shop floor control using planning, scheduling, and execution functions. In particular, we focus on an execution model that can coordinate the planning and scheduling functions. Execution can be defined informally as a function that downloads and performs a set of scheduled tasks. Execution is also responsible for identifying and resolving various errors whether they come from hardware or software. The purpose of this research is to identify all the execution activities and solving techniques under the assumptions of CSCW-based heterarchical control architecture. This paper also proposes a classification scheme for execution activities of CSCW-based heterarchical control architecture. Petri-nets are used as a unified framework for modeling and controlling execution activities. For solving the nonexistence of a supervisor, A negotiation-based solution technique is utilized.

  • PDF

레지스터 리네이밍 방법을 사용하는 조건부 실행 비순차적 명령어 이슈 마이크로프로세서에 관한 연구 (Research on Conditional Execution Out-of-order Instruction Issue Microprocessor Using Register Renaming Method)

  • 최규백;김문경;홍인표;이용석
    • 한국통신학회논문지
    • /
    • 제28권9A호
    • /
    • pp.763-773
    • /
    • 2003
  • 본 논문에서는 조건부 실행 비순차적 명령어 이슈 컴퓨터 시스템에서의 레지스터 리네이밍 방법을 제안한다. 레지스터 리네이밍은 읽기 후 쓰기 그리고 쓰기 후 쓰기 의존성을 제거하는 기술이다. 레지스터 리네이밍 방법을 사용하는 조건부 실행 비순차적 명령어 이슈 컴퓨터 시스템을 구현하기 위해서, 우리는 순차적 상태 물리적 레지스터와 미리보기 상태 물리적 레지스터들 양자를 모든 논리적 레지스터들이 공유할 수 있도록 포함하고 있는 레지스터 파일을 사용한다. 또한 본 논문에서 제안된 구조를 구현하기 위해서 순차적 상태 지시기, 리네이밍 상태 지시기, 물리적 레지스터 할당 지시기, 조건 예측 버퍼, 리오더 버퍼들을 구현한다. 이러한 모든 하드웨어를 이용해서, 레지스터 리네이밍 방법을 사용하는 조건부 실행 비순차적 명령어 이슈 컴퓨팅 시스템의 레지스터 리네이밍 및 순차적 상태의 추적을 가능하게 한다. 본 논문에서는 위의 하드웨어를 사용하여 기존 레지스터 리네이밍 방법에 비해서 적은 하드웨어 비용으로 내용 검색(associative lookup)을 제거하고 짧은 복구 시간을 제공하는 개량된 레지스터 리네이밍 방법을 제안한다.

완전한 파이프라인 방식의 비순차실행 프로세서의 설계 및 모의실행 (Design and Simulation for Out-of-Order Execution Processor of a Fully Pipelined Scheme)

  • 이종복
    • 한국인터넷방송통신학회논문지
    • /
    • 제20권5호
    • /
    • pp.143-149
    • /
    • 2020
  • 현재 컴퓨터 시스템의 중앙처리장치로 멀티코어 프로세서가 주로 이용되고 있으며, 고성능의 비순차실행 프로세서를 각 코어로 채택하여 시스템의 성능을 극대화할 수 있다. 초기의 토마술로 알고리즘을 적용한 비순차실행 프로세서는 부동소수점 명령어를 목표로 하였고, 복잡한 구조를 갖는 재배열버퍼와 예약스테이션의 사용 때문에 그 실행에 여러 싸이클이 소요되었다. 그러나, 프로세서가 비순차실행을 제대로 활용하여 명령어의 처리량을 높이기 위해서는 완전한 파이프라인 방식으로 동작해야한다. 본 논문에서는 예측실행 기능이 있는 완전한 파이프라인 방식의 비순차실행 프로세서를 VHDL로 설계하고, GHDL로 검증하였다. 모의실험 결과, ARM 명령어로 구성된 프로그램에 대한 연산을 성공적으로 수행할 수 있었다.