• 제목/요약/키워드: global planarization

검색결과 86건 처리시간 0.026초

CMP 공정에서 마이크로 스크래치 감소를 위한 슬러리 필터의 특성 (Characteristics of Slurry Filter for Reduction of CMP Slurry-induced Micro-scratch)

  • 김철복;김상용;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제14권7호
    • /
    • pp.557-561
    • /
    • 2001
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integraded circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). Especially, defects such as micro-scratch lead to severe circuit failure which affect yield. CMP slurries can contain particles exceeding 1㎛ in size, which could cause micro-scratch on the wafer surface. The large particles in these slurries may be caused by particles agglomeration in slurry supply line. To reduce these defects, slurry filtration method has been recommended in oxide CMP. In this work, we have studied the effects of filtration and the defect trend as a function of polished wafer count using various filters in inter-metal dielectrics(IMD)-CMP process. The filter installation in CMP polisher could reduce defects after IMD-CMP process. As a result of micro-scratch formation, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. We have concluded that slurry filter lifetime is fixed by the degree of generating defects.

  • PDF

텅스텐 CMP에서 디싱 및 에로젼 결함 감소에 관한 연구 (A Study on the Reduction of Dishing and Erosion Defects)

  • 정해도;박범영;김호윤;김형재
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.140-143
    • /
    • 2004
  • Chemical mechanical polishing(CMP) is essential technology to secure the depth of focus through the global planarization of wafer. But a variety of defects such as contamination, scratch, dishing, erosion and corrosion are occurred during CMP. Especially, dishing and erosion defects increase the resistance because they decrease the interconnect section area, and ultimately reduce the life time of the semiconductor. Due to this dishing and erosion must be prohibited. The pattern density and size in chip have a significant influence on dishing and erosion occurred over-polishing. Decreasing of abrasive concentration results in advanced pattern selectivity which can lead the uniform removal in chip and decrease of over-polishing. The fixed abrasive pad was applied and tested to reduce dishing and erosion in this paper. Consequently, reduced dishing and erosion was observed in CMP of tungsten pattern wafer with proposed fixed abrasive pad and chemicals.

  • PDF

패드 그루브의 밀도변화가 연마특성에 미치는 영향 (The Effect of Pad Groove Density on CMP Characteristics)

  • 박기현;정재우;이현섭;서헌덕;정석훈;이상직;정해도
    • 한국정밀공학회지
    • /
    • 제22권8호
    • /
    • pp.27-33
    • /
    • 2005
  • Polishing pads play an important role in chemical mechanical polishing(CMP) which has recently been recognized at the most effective method to achieve global planarization. In this paper, we have investigated CMP characteristics as a change of groove density of polishing pads. The parameter $(K_n)$ is proposed to estimate groove density of pad. The $K_n$ is defined as groove area divided by pitch area. As the groove density value increased, removal rate increased to some point and then gradually saturated in case of increasing the groove density excessively. In addition Within wafer non-uniformity(WIWNU) worse as groove density increased excessively, although WIWNU improved as groove density increased. Also the uniformity of temperature of pad surface decreased as the groove density increased. It was because that the cooling effect increased as groove density increased. In other words, increasing the groove density which means the apparent contact area of pad has influence on amount of discharge of slurry during polishing process.

열처리된 산화막 CMP 슬러리의 노화 현상 (Aging effect of annealed oxide CMP slurry)

  • 이우선;신재욱;최권우;고필주;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.1
    • /
    • pp.335-338
    • /
    • 2003
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-layer dielectrics (ILD). Especially, defects such as micro-scratch lead to severe circuit failure which affect yield. CMP slurries can contain particles exceeding $1\;{\mu}m$ in size, which could cause micro-scratch on the wafer surface. In this paper, we have studied aging effect the of CMP sin as a function of particle size. We prepared and compared the self-developed silica slurry by adding of abrasives before and after annealing. As our preliminary experiment results, we could be obtained the relatively stable slurry characteristics comparable to original silica slurry in the slurry aging effect.

  • PDF

Utilizing Advanced Pad Conditioning and Pad Motion in WCMP

  • Kim, Sang-Yong;Chung, Hun-Sang;Park, Min-Woo;Kim, Chang-Il;Chang, Eui-Goo
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.171-175
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectrics and metal, which can apply to employed in integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of free-defects in inter level dielectrics and metal. Especially, defects like (micro-scratch) lead to severe circuit failure, and affects yield. Current conditioning method - bladder type, orbital pad motion- usually provides unsuitable pad profile during ex-situ conditioning near the end of pad life. Since much of the pad wear occurs by the mechanism of bladder type conditioning and its orbital motion without rotation, we need to implement new ex-situ conditioner which can prevent abnormal regional force on pad caused by bladder-type and also need to rotate the pad during conditioning. Another important study of ADPC is related to the orbital scratch of which source is assumed as diamond grit dropped from the strip during ex-situ conditioning. Scratch from diamond grit damaged wafer severely so usually scraped. Figure 1 shows the typical shape of scratch damaged from diamond. e suspected that intensive forces to the edge area of bladder type stripper accelerated the drop of Diamond grit during conditioning. so new designed Flat stripper was introduced.

  • PDF

산화막 CMP의 연마율 및 비균일도 특성 (Removal Rate and Non-Uniformity Characteristics of Oxide CMP (Chemical Mechanical polishing))

  • 정소영;박성우;박창준;이경진;김기욱;김철복;김상용;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 춘계학술대회 논문집 유기절연재료 전자세라믹 방전플라즈마 일렉트렛트 및 응용기술
    • /
    • pp.223-227
    • /
    • 2002
  • As the channel length of device shrinks below $0.13{\mu}m$, CMP(chemical mechanical polishing) process got into key process for global planarization in the chip manufacturing process. The removal rate and non-uniformity of the CMP characteristics occupy an important position to CMP process control. Especially, the post-CMP thickness variation depends on the device yield as well as the stability of subsequent process. In this paper, every wafer polished two times for the improvement of oxide CMP process characteristics. Then, we discussed the removal rate and non-uniformity characteristics of post-CMP process. As a result of CMP experiment, we have obtained within-wafer non-uniformity (WIWNU) below 4 [%], and wafer-to-wafer non-uniformity (WTWNU) within 3.5 [%]. It is very good result, because the reliable non-uniformity of CMP process is within 5 [%].

  • PDF

H2O2 산화제가 W/Ti 박막의 전기화학적 분극특성 및 CMP 성능에 미치는 영향 (Electrochemical Polarization Characteristics and Effect of the CMP Performances of Tungsten and Titanium Film by H2O2 Oxidizer)

  • 나은영;서용진;이우선
    • 한국전기전자재료학회논문지
    • /
    • 제18권6호
    • /
    • pp.515-520
    • /
    • 2005
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. Also CMP process got into key process for global planarization in the chip manufacturing process. In this study, potentiodynamic polarization was carried out to investigate the influences of $H_2O_2$ concentration and metal oxide formation through the passivation on tungsten and titanium. Fortunately, the electrochemical behaviors of tungsten and titanium are similar, an one may expect. As an experimental result, electrochemical corrosion of the $5\;vol\%\;H_2O_2$ concentration of tungsten and titanium films was higher than the other concentrations. According to the analysis, the oxidation state and microstructure of surface layer were strongly influenced by different oxidizer concentration. Moreover, the oxidation kinetics and resulting chemical state of oxide layer played critical roles in determining the overall CMP performance. Therefore, we conclude that the CMP characteristics tungsten and titanium metal layer including surface roughness were strongly dependent on the amounts of hydrogen peroxide oxidizer.

STI-CMP 공정 적용을 위한 연마 정지점 고찰 (A Study of End Point Detection Measurement for STI-CMP Applications)

  • 김상용;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제14권3호
    • /
    • pp.175-184
    • /
    • 2001
  • In this study, the improved throughput and stability in device fabrication could be obtained by applying CMP process to STi structue in 0.18 um semiconductor device. To employ the CMP process in STI structure, the Reverse Moat Process used to be added after STI Fill, as a result, the process became more complex and the defect were seriously increased than they had been,. Removal rate of each thin film in STI CMP was not uniform, so, the device must have been affected. That is, in case of excessive CMP, the damage on the active area was occurred, and in the case of insufficient CMP nitride remaining was happened on that area. Both of them deteriorated device characteristics. As a solution to these problems, the development of slurry having high removal rate and high oxide to nitride selectivity has been studied. The process using this slurry afford low defect levels, improved yield, and a simplified process flow. In this study, we evaluated the 'High Selectivity Slurry' to do a global planarization without reverse moat step, and also we evaluated EPD(Eend Point Detection) system with which 'in-situ end point detection' is possible.

  • PDF

구리 CMP 공정변수 최적화를 위한 실험계획법(DOE) 연구 (A Study on DOE Method to Optimize the Process Parameters for Cu CMP)

  • 최민호;김남훈;김상용;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제18권1호
    • /
    • pp.24-29
    • /
    • 2005
  • Chemical mechanical polishing (CMP) has been widely accepted for the global planarization of multi-layer structures in semiconductor manufacturing. Copper has been the candidate metallization material for ultra-large scale integrated circuits (ULSIs), owing to its excellent electro-migration resistance and low electrical resistance. However, it still has various problems in copper CMP process. Thus, it is important to understand the effect of the process variables such as turntable speed, head speed, down force and back pressure are very important parameters that must be carefully formulated in order to achieve desired the removal rates and non-uniformity. Using a design of experiment (DOE) approach, this study was performed investigating the main effect of the variables and the interaction between the various parameters during CMP. A better understanding of the interaction behavior between the various parameters and the effect on removal rate, non-uniformity and ETC (edge to center) is achieved by using the statistical analysis techniques. In the experimental tests, the optimum parameters which were derived from the statistical analysis could be found for higher removal rate and lower non-uniformity through the above DOE results.

재활용 슬러리를 사용한 2단계 CMP 특성 (Characteristics of 2-Step CMP (Chemical Mechanical Polishing) Process using Reused Slurry)

  • 이경진;서용진;최운식;김기욱;김상용;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 추계학술대회 논문집 Vol.15
    • /
    • pp.39-42
    • /
    • 2002
  • Recently, CMP (chemical mechanical polishing) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, COO (cost of ownership) and COC (cost of consumables) were relatively increased because of expensive slurry. In this paper, we have studied the possibility of recycle of reused silica slurry in order to reduce the costs of CMP slurry. The post-CMP thickness and within-wafer non-uniformity(WIWNU) were measured as a function of different slurry composition. As a experimental result, the performance of reused slurry with annealed silica abrasive of 2 wt% contents was showed high removal rate and low non-uniformity. Therefore, we propose two-step CMP process as follows In the first-step CMP, we can polish the thick and rough film surface using remaked slurry, and then, in the second-step CMP, we can polish the thin film and fine pattern using original slurry. In summary, we can expect the saving of high costs of slurry.

  • PDF