• Title/Summary/Keyword: exponenitator

Search Result 1, Processing Time 0.013 seconds

Design of VLSI Architecture for Efficient Exponentiation on $GF(2^m)$ ($GF(2^m)$ 상에서의 효율적인 지수제곱 연산을 위한 VLSI Architecture 설계)

  • 한영모
    • Journal of the Institute of Electronics Engineers of Korea SC
    • /
    • v.41 no.6
    • /
    • pp.27-35
    • /
    • 2004
  • Finite or Galois fields have been used in numerous applications such as error correcting codes, digital signal processing and cryptography. These applications often require exponetiation on GF(2$^{m}$ ) which is a very computationally intensive operation. Most of the existing methods implemented the exponetiation by iterative methods using repeated multiplications, which leads to much computational load, or needed much hardware cost because of their structural complexity in implementing. In this paper, we present an effective VLSI architecture for exponentiation on GF(2$^{m}$ ). This circuit computes the exponentiation by multiplying product terms, each of which corresponds to an exponent bit. Until now use of this type algorithm has been confined to a primitive element but we generalize it to any elements in GF(2$^{m}$ ).