• Title/Summary/Keyword: etcher

Search Result 103, Processing Time 0.028 seconds

Etching characteristics of ArF and EUV resists in dual-frequency superimposed capacitively coupled $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}$/Ar plasmas

  • Gwon, Bong-Su;Kim, Jin-Seong;Park, Yeong-Rok;An, Jeong-Ho;Mun, Hak-Gi;Jeong, Chang-Ryong;Heo, Uk;Park, Ji-Su;Lee, Nae-Eung;Lee, Seong-Gwon
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.05a
    • /
    • pp.252-253
    • /
    • 2009
  • In this study, the deformation and etch characteristics of ArF and EUV photoresists were compared in a dual frequency superimposed capacitively coupled plasma (DFS-CCP) etcher systems using $CF_{4}/O_{2}/Ar$ and $CF_{4}/CHF_{3}/O_{2}/Ar$ mixture gas chemistry which are typically used for BARC open and $Si_{3}N_{4}$ teching chemistry, respectively. Etch rate of the resists tend to increase with low-frequency source power ($P_{LF}$) and high-frequency source ($f_{HF}$). The etch rate of ArF resist was hgither than that of EUV resist.

  • PDF

One- and Two-Dimensional Arrangement of DNA-Templated Gold Nanoparticle Chains using Plasma Ashing Method

  • Kim, Hyung-Jin;Hong, Byung-You
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.291-291
    • /
    • 2010
  • Electron-beam lithography (EBL) process is a versatile tool for a fabrication of nanostructures, nano-gap electrodes or molecular arrays and its application to nano-device. However, it is not appropriate for the fabrication of sub-5 nm features and high-aspect-ratio nanostructures due to the limitation of EBL resolution. In this study, the precision assembly and alignment of DNA molecule was demonstrated using sub-5 nm nanostructures formed by a combination of conventional electron-beam lithography (EBL) and plasma ashing processes. The ma-N2401 (EBL-negative tone resist) nanostructures were patterned by EBL process at a dose of $200\;{\mu}C/cm2$ with 25 kV and then were ashed by a chemical dry etcher at microwave (${\mu}W$) power of 50 W. We confirmed that this method was useful for sub-5 nm patterning of high-aspect-ratio nanostructures. In addition, we also utilized the surface-patterning technique to create the molecular pattern comprised 3-(aminopropyl) triethoxysilane (APS) as adhesion layer and octadecyltrichlorosilane (OTS) as passivation layer. DNA-templated gold nanoparticle chain was attached only on the sub-5 nm APS region defined by the amine groups, but not on surface of the OTS region. We were able to obtain DNA molecules aligned selectively on a SiO2/Si substrate using atomic force microscopy (AFM).

  • PDF

Nanophotonics of Hexagonal Lattice GaN Crystals Fabricated using an Electron Beam Nanolithography Process

  • Lee, In-Goo;Kim, Keun-Joo;Jeon, Sang-Cheol;Kim, Jin-Soo;Lee, Hee-Mok
    • International Journal of Precision Engineering and Manufacturing
    • /
    • v.7 no.4
    • /
    • pp.14-17
    • /
    • 2006
  • A thin GaN semiconducting film that grows on sapphires due to metalorganic chemical vapor deposition was machined for nanophotonic applications. The thin film had multilayered superlattice structures, including nanoscaled InGaN layers. Eight alternating InGaN/GaN multilayers provided a blue light emission source. Nanoscaled holes, 150 nm in diameter, were patterned on polymethylmethacrylate (PMMA) film using an electron beam lithography system. The PMMA film blocked the etching species. Air holes, 75 nm in diameter, which acted as blue light diffraction sources, were etched on the top GaN layer by an inductively coupled plasma etcher. Hexagonal lattice photonic crystals were fabricated with 230-, 460-, 690-, and 920-nm pitches. The 450-nm wavelength blue light provided the nanodiffraction destructive and constructive interferences phenomena, which were dependent on the pitch of the holes.

Analysis on Variation Mechanism of the Plasma Process Using OES (광진단을 통한 플라즈마 공정 상태 변동 메커니즘 분석)

  • Park, Seol-Hye;Kim, Gon-Ho
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.31-31
    • /
    • 2011
  • 식각, 증착 등의 플라즈마 활용 공정에서 공정 결과들이 예상치 못한 편차를 보이거나 시간에 따른 공정 결과의 드리프트가 발생하는 등의 문제는 공정 수율 향상 뿐 아니라 공정 결과 생산하게 되는 제품의 성능을 결정짓는다는 점에서 중요하다. 그 결과 공정의 이상이 발생 되는 것을 감지하기 위한 다양한 장치 및 알고리즘들이 등장하고 있으나, 현재 공정 상태 변화를 진단하는 것은 공정 장치에서 발생된 신호 변동을 통계적으로 처리하는 수준에 머무르거나 플라즈마 인자들의 값 자체를 진단하는 정도에 그치고 있다. 본 연구에서는, 향후 물리적 해석을 기반으로 한 공정 진단을 위한 알고리즘을 세우는 것을 목표로 하여 공정 결과에 민감하게 영향을 주는 플라즈마 내부 전자의 열평형 상태의 미세한 변동을 감지하고 이를 통하여 공정 결과에 영향을 주게 되는 장치 내 물리적, 화학적 반응들의 변동 메커니즘을 이해하고자 하였다. 외부에서 감지하기 힘들기 때문에 장치 상태에 변동이 없는 것으로 보이지만 실제로는 변동하고 있는 플라즈마의 미세한 상태 변화를 보여줄 수 있는 물리 인자로는 잦은 충돌로 인하여 빠르게 변동에 대응할 수 있는 전자들의 열평형 특성을 살펴보는 것이 적합하다고 판단하여 광신호를 통해 전자 에너지 분포함수를 진단할 수 있는 모델을 수립하였다. 이 모델의 적용 결과를 활용하면 전자들의 열평형이 주변 가스 종의 반응율 변동에 주게 되는 영향을 해석할 수 있다. 실제로 ICP-Oxide Etcher 장치에서 장치 내벽 오염물질 유입 및 공정 부산물의 장치 내 잔여로 인하여 식각율로 표현되는 공정 결과에 최대 6%의 편차가 발생하게 되는 메커니즘을 해석할 수 있었다.

  • PDF

Modified Principal Component Analysis for Real-Time Endpoint Detection of SiO2 Etching Using RF Plasma Impedance Monitoring

  • Jang, Hae-Gyu;Kim, Dae-Gyeong;Chae, Hui-Yeop
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.32-32
    • /
    • 2011
  • Plasma etching is used in microelectronic processing for patterning of micro- and nano-scale devices. Commonly, optical emission spectroscopy (OES) is widely used for real-time endpoint detection for plasma etching. However, if the viewport for optical-emission monitoring becomes blurred by polymer film due to prolonged use of the etching system, optical-emission monitoring becomes impossible. In addition, when the exposed area ratio on the wafer is small, changes in the optical emission are so slight that it is almost impossible to detect the endpoint of etching. For this reason, as a simple method of detecting variations in plasma without contamination of the reaction chamber at low cost, a method of measuring plasma impedance is being examined. The object in this research is to investigate the suitability of using plasma impedance monitoring (PIM) with statistical approach for real-time endpoint detection of $SiO_2$ etching. The endpoint was determined by impedance signal variation from I-V monitor (VI probe). However, the signal variation at the endpoint is too weak to determine endpoint when $SiO_2$ film on Si wafer is etched by fluorocarbon plasma on inductive coupled plasma (ICP) etcher. Therefore, modified principal component analysis (mPCA) is applied to them for increasing sensitivity. For verifying this method, detected endpoint from impedance analysis is compared with optical emission spectroscopy (OES). From impedance data, we tried to analyze physical properties of plasma, and real-time endpoint detection can be achieved.

  • PDF

Frequency effect of TEOS oxide layer in dual-frequency capacitively coupled CH2F2/C4F8/O2/Ar plasma

  • Lee, J.H.;Kwon, B.S.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.284-284
    • /
    • 2011
  • Recently, the increasing degree of device integration in the fabrication of Si semiconductor devices, etching processes of nano-scale materials and high aspect-ratio (HAR) structures become more important. Due to this reason, etch selectivity control during etching of HAR contact holes and trenches is very important. In this study, The etch selectivity and etch rate of TEOS oxide layer using ACL (amorphous carbon layer) mask are investigated various process parameters in CH2F2/C4F8/O2/Ar plasma during etching TEOS oxide layer using ArF/BARC/SiOx/ACL multilevel resist (MLR) structures. The deformation and etch characteristics of TEOS oxide layer using ACL hard mask was investigated in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher by different fHF/ fLF combinations by varying the CH2F2/ C4F8 gas flow ratio plasmas. The etch characteristics were measured by on scanning electron microscopy (SEM) And X-ray photoelectron spectroscopy (XPS) analyses and Fourier transform infrared spectroscopy (FT-IR). A process window for very high selective etching of TEOS oxide using ACL mask could be determined by controlling the process parameters and in turn degree of polymerization. Mechanisms for high etch selectivity will discussed in detail.

  • PDF

High Density Planar Inductively Coupled Plasma Etching of GaAs in BCl$_3$-based Chemistries (BCl$_3$ 기반 가스를 이용한 GaAs의 고밀도 평판형 유도결합 플라즈마 식각)

  • ;;;;;;S.J. Pearton
    • Journal of the Korean institute of surface engineering
    • /
    • v.36 no.5
    • /
    • pp.418-422
    • /
    • 2003
  • 평판형 유도결합 플라즈마 식각장비(inductively coupled plasma etcher)를 이용하여 각종 공정조건들에 따른 GaAs의 식각특성을 연구하였다. 공정변수들은 ICP 소스파워(0-500 W), RIE 척파워(0-150 W), 가스 종류($BCl_3$, $BCl_3$/Ar, $BCl_3$/Ne) 및 가스혼합비였다. $BCl_3$ 가스만을 이용하여 GaAs를 식각한 경우보다 25%의 Ar이나 Ne같은 불활성 기체를 혼합한 $15BCl_3$/5Ar, $15BCl_3$/5Ne 가스를 이용한 경우의 식각률이 더 우수한 것을 확인하였다. 그리고 50% 이하의 Ar이 혼합된 $BCl_3$/Ar의 경우는 높은 식각률 (>4,000 $\AA$/min)과 평탄한 표면(RMS roughness : <2 nm)을 얻을 수 있었지만 지나친 양(>50%)의 Ar의 혼합은 오히려 표면을 거칠게 하거나 식각률을 떨어뜨리는 결과를 가져왔다. 그리고 20 sccm $BCl_3$, 100 W RIE 척파워, 300 W ICP 소스파워, 공정압력이 7.5 mTorr인 조건에서의 GaAs의 식각결과는 아주 우수한 특성(식각률: ∼ 4,000, $\AA$/min, 우수한 수직측벽도: >$87^{\circ}$, 평탄한 표면: RMS roughness : ∼0.6 nm)을 나타내었다.

Large Area Plasma for LCD Processing by Individyally Controlled Array Sources

  • Kim, Bong-Joo;Kim, Chin-Woo;Park, Se-Geun;Lee, Jong-Geun;Lee, Seung-Ul;Lee, Il-Hang;O, Beom-Hoan
    • Journal of Information Display
    • /
    • v.3 no.2
    • /
    • pp.26-30
    • /
    • 2002
  • Large area plasma source has been built for LCD etcher by an array of $2{\times}2$ ICP sources. Since only one RF power supply and one impedance matching network is used in this configuration, any difference in impedances of unit RF antennas causes unbalanced power delivery to the unit ICP. In order to solve this unavoidable unbalance, unit antenna is designed to have a movable tap, with which the inductance of each unit can be adjusted individually. The plasma density becomes symmetric and etch rate becomes more uniform with the impedance adjustment. The concept of adding axial time-varying magnetic field to the single ICP source is applied to the array ICP source, and is found to be effective in terms of etch rate and uniformity.

Electrode Charging Effect on Ion Energy Distribution of Dual-Frequency Driven Capacitively Coupled Plasma Etcher (이중 주파수 전원의 용량성 결합 플라즈마 식각장비에서 전극하전에 의한 입사이온 에너지분포 변화연구)

  • Choi, Myung-Sun;Jang, Yunchang;Lee, Seok-Hwan;Kim, Gon-Ho
    • Journal of the Semiconductor & Display Technology
    • /
    • v.13 no.3
    • /
    • pp.39-43
    • /
    • 2014
  • The effect of electrode charging on the ion energy distribution (IED) was investigated in the dual-frequency capacitively coupled plasma source which was powered of 100 MHz RF at the top electrode and 400 kHz bias on the bottom electrode. The charging property was analyzed with the distortion of the measured current and voltage waveforms. The capacitance and the resistance of electrode sheath can change the property of ion and electron charging on the electrode so it is sensitive to the plasma density which is controlled by the main power. The ion energy distribution was estimated by equivalent circuit model, being compared with the measured distribution obtained from the ion energy analyzer. Results show that the low frequency bias power changes effectively the low energy population of ion in the energy distribution.

Plasma Etching에 의한 Silicon 태양전지 표면의 광반사도 감소

  • Ryu, Seung-Heon;Yang, Cheng;Yu, Won-Jong;Kim, Dong-Ho;Kim, Taek
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2008.11a
    • /
    • pp.90-90
    • /
    • 2008
  • 실리콘을 기판으로 하는 대부분의 태양전지에서는 표면반사에 의한 광에너지손실을 최소화시키고자 습식에칭(wet etching)에 의한 텍스쳐링처리가 이루어진다. 그러나 습식 에칭은 공정 과정이 번거롭고 비용이 많이 든다. Inductively Coupled Plasma Etcher 장비를 이용한 플라즈마 에칭 (plasma etching)을 실리콘 표면에 적용하여 공정을 간단하고 저렴하게 하며 반사도를 획기적으로 낮추는 기술이 개발되었다. 습식 에칭으로 형성된 표면의 피라미드 구조는 1차 반사 후 빛의 일부가 외부로 흩어져 나가지만 플라즈마 에칭으로 형성된 나노구조는 내부전반사가 가능하여 대부분의 태양 에너지를 흡수한다. 나노구조는 필라(pillar)의 형태로 형성되며 이 필라의 길이에 따라 반사도가 다르게 나타난다. 이는 플라즈마 에칭 시 발생하는 이온폭격과 에칭 측벽 식각 보호막(SiOxFy : Silicon- Oxy- Fluoride)이 필라의 길이에 영향을 주기 때문이며, 필라가 길수록 반사도를 저하시킨다. 최저의 반사도를 얻기 위해서 나노필라 형성에 기여하는 플라즈마 에칭 시간, RF bias power, SF6/O2 gas ratio의 변화에 따른 실험이 진행되었다. 플라즈마 발생 초기에는 표면의 거칠기만 증가할 뿐 필라가 형성되지 않지만 특정조건에서 3um 이상의 필라를 얻는다. 이는 에칭 측벽 식각 억제막이 약한 부분으로 이온폭격이 집중되어 발생한다. 플라즈마 에칭을 적용하여 형성된 나노필라는 반사도가 가시광 영역에서 대략 1%에 불과하며, 마스크 없이 공정이 가능한 장점이 있다.

  • PDF