• 제목/요약/키워드: dry-etching

검색결과 407건 처리시간 0.027초

Self-Patterning을 이용한 강유전체 $Sr_{0.9}Bi_{2.1}Ta_2O_9$와 산화물 전극 $La_{0.5}Sr_{0.5}CoO_3$의 박막 제조에 관한 연구 (A Study on Fabrication of $Sr_{0.9}Bi_{2.1}Ta_2O_9$ and $La_{0.5}Sr_{0.5}CoO_3$ Thin Films by Self-Patterning Technique)

  • 임종천;조태진;강동균;임태영;김병호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 춘계학술대회 논문집 센서 박막재료 반도체 세라믹
    • /
    • pp.116-119
    • /
    • 2003
  • Self-patterning of thin films using photosensitive sol solution has advantages such as simple manufacturing process compared to photoresist/dry etching process. In this study, ferroelectric $Sr_{0.9}Bi_{2.1}Ta_2O_9$(SBT) and $La_{0.5}Sr_{0.5}CoO_3$(LSCO)thin films have been prepared by spin coating method using photosensitive sol solution. $Sr(OC_2H5)_2$, $Bi(TMHD)_3$ and $Ta(OC_2H)_5)_5$ were used as starting materials for SBT solution and $La(OCH_2CH_2OCH_3)_3$, $Sr(OC_2H_5)_2$, $CO(OCH_2CH_2OCH_3)_2$ were used for LSCO solution. Solubility difference by UV irradiation on LSCO thin film allows to obtain a fine patterning due to M-O-M bond formation. The lowest resistivity($4{\times}10^{-3}{\Omega}cm$) of LSCO thin films was obtained by annealing at $740^{\circ}C$.

  • PDF

Flexible Display용 Low Temp Process를 이용한 ZnO TFT의 제작 및 특성 평가 (Fabrication and Characteristics of ZnO TFTs for Flexible Display using Low Temp Process)

  • 김영수;강민호;남동호;최광일;이희덕;이가원
    • 한국전기전자재료학회논문지
    • /
    • 제22권10호
    • /
    • pp.821-825
    • /
    • 2009
  • Recently, transparent ZnO-based TFTs have attracted much attention for flexible displays because they can be fabricated on plastic substrates at low temperature. We report the fabrication and characteristics of ZnO TFTs having different channel thicknesses deposited at low temperature. The ZnO films were deposited as active channel layer on $Si_3N_4/Ti/SiO_2/p-Si$ substrates by RF magnetron sputtering at $100^{\circ}C$ without additional annealing. Also, the ZnO thin films deposited at oxygen partial pressures of 40%. ZnO TFTs using a bottom-gate configuration were investigated. The $Si_3N_4$ film was deposited as gate insulator by PE-CVD at $150^{\circ}C$. All Processes were processed below $150^{\circ}C$ which is optimal temperature for flexible display and were used dry etching method. The fabricated devices have different threshold slop, field effect mobility and subthreshold slop according to channel thickness. This characteristics are related with ZnO crystal properties analyzed with XRD and SPM. Electrical characteristics of 60 nm ZnO TFT (W/L = $20\;{\mu}m/20\;{\mu}m$) exhibited a field-effect mobility of $0.26\;cm^2/Vs$, a threshold voltage of 8.3 V, a subthreshold slop of 2.2 V/decade, and a $I_{ON/OFF}$ ratio of $7.5\times10^2$.

기계-화학적 연마 공정을 이용한 실리콘 전계방출 어레이의 제작 (Fabrication of silicon field emitter array using chemical-mechanical-polishing process)

  • 이진호;송윤호;강승열;이상윤;조경의
    • 한국진공학회지
    • /
    • 제7권2호
    • /
    • pp.88-93
    • /
    • 1998
  • 본 연구에서는 기계-화학적 연마(Chemical-Mechanical-Polishing: CMP)공정을 이용 하여 게이트 전극을 가지는 실리콘 전계방출 소자를 제작하였으며, 또한 그 전자방출 특성 을 분석하였다. 실리콘 전계방출 소자를 제작하기 위해 실리콘을 두단계로 이루어진 건식식 각과 산화공정으로 팁을 뾰족하게 만들었으며, 게이트를 형성하기 위하여 고 선택비를 가지 는 CMP공정을 사용하였으며, 연마 시간과 연마 압력의 변화로 게이트 높이와 개구의 직경 을 쉽게 조절할 수 있었다. 또한, CMP공정시 발생되는 디싱(dishing)문제를 산화막 마스킹 을 사용함으로 해결하여 자동 정렬된 게이트전극의 개구를 깨끗하게 형성할 수 있었다. 제 작된 에미터의 높이와 팁끝의 반경은 각각 1.1$\mu$m, 100$\AA$정도이며, 제작된 2809개의 팁 어 레이로 80V의 게이트전압에서 31$\mu$A의 방출전류를 얻을 수 있었다.

  • PDF

Effects of $N_2$ addition on chemical etching of silicon nitride layers in $F_2/Ar/N_2$ remote plasma processing

  • Park, S.M.;Kim, H.W.;Kim, S.I.;Yun, Y.B.;Lee, N.E.
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2007년도 춘계학술발표회 초록집
    • /
    • pp.78-79
    • /
    • 2007
  • In this study, chemical dry characteristics of silicon nitride layers were investigated in the $F_2/N_2/Ar$ remote plasma. A toroidal-type remote plasma source was used for the generation of remote plasmas. The effects of additive $N_2$ gas on the etch rates of various silicon nitride layers deposited using different deposition techniques and precursors were investigated by varying the various process parameters, such as the $F_2$ flow rate, the addition $N_2$ flow rate and the substrate temperature. The etch rates of the various silicon nitride layers at the room temperature were initially increased and then decreased with the $N_2$ flow increased, which indicates an existence of the maximum etch rates. The etch rates of the silicon oxide layers were also significantly increased with the substrate temperature increased. In the present experiments the $F_2$ gas flow, addition $N_2$ flow rate and the substrate temperature were found to be the critical parameters in determining the etch rate of the silicon nitride layers

  • PDF

스핀코팅 하드마스크용 유-무기 하이브리드 소재에 관한 연구 (Organic-inorganic Hybrid Materials for Spin Coating Hardmask)

  • 유제정;황석호;김상범
    • 공업화학
    • /
    • 제22권2호
    • /
    • pp.230-234
    • /
    • 2011
  • 반도체산업은 고집적화된 회로를 요구하면서 미세 패턴을 형성하기 위해 계속해서 발전해가고 있다. 이에 반도체 산업에서 미세 패턴을 형성하기 위하여 하드마스크를 도입하여 사용되고 있다. 일반적으로 하드마스크는 화학증기증착법(CVD) 공정을 이용하여 다층구조로 제작된다. 이에 본 연구에서는 스핀공정이 가능하고 단층의 하드마스크용 조성물을 제조하기 위하여 유-무기 하이브리드 중합체를 이용하여 그 특성에 대하여 연구하였다. Silanol로 처리된 siloxane 화합물과 acetonide 그룹을 가지는 propionic acid를 에스터화 반응을 통하여 얻은 유-무기 하이브리드 중합체에 가교제 및 첨가제들의 첨가로 광학적, 열적, 그리고 표면 특성이 조절된 하드마스크 막을 제조하였다. 또한 하드마스크 막과 감광층의 식각비를 비교하여 유-무기 소재의 하이브리드 중합체에 대해 미세패턴을 형성시킬 수 있는 하드마스크 막으로써의 유용성을 확인하였다.

VHF-CCP 설비에서 Ar/SF6 플라즈마 분포가 Si 식각 균일도에 미치는 영향 분석 (Analysis of Si Etch Uniformity of Very High Frequency Driven - Capacitively Coupled Ar/SF6 Plasmas)

  • 임성재;이인규;이하늘;손성현;김곤호
    • 반도체디스플레이기술학회지
    • /
    • 제20권4호
    • /
    • pp.72-77
    • /
    • 2021
  • The radial distribution of etch rate was analyzed using the ion energy flux model in VHF-CCP. In order to exclude the effects of polymer passivation and F radical depletion on the etching. The experiment was performed in Ar/SF6 plasma with an SF6 molar ratio of 80% of operating pressure 10 and 20 mTorr. The radial distribution of Ar/SF6 plasma was diagnosed with RF compensated Langmuir Probe(cLP) and Retarding Field Energy Analyzer(RFEA). The radial distribution of ion energy flux was calculated with Bohm current times the sheath voltage which is determined by the potential difference between the plasma space potential (measured by cLP) and the surface floating potential (by RFEA). To analyze the etch rate uniformity, Si coupon samples were etched under the same condition. The ion energy flux and the etch rate show a close correlation of more than 0.94 of R2 value. It means that the etch rate distribution is explained by the ion energy flux.

비교원성 단백질이 상아질 접착제의 결합강도와 교원질의 형태에 미치는 영향 (THE EFFECT OF THE REMOVAL OF CHONDROITIN SULFATE ON BOND STRENGTH OF DENTIN ADHESIVES AND COLLAGEN ARCHITECTURE)

  • 김종률;박상진;최기운;최경규
    • Restorative Dentistry and Endodontics
    • /
    • 제35권3호
    • /
    • pp.211-221
    • /
    • 2010
  • 본 연구는 상아질의 비교원성 단백질을 chondroitinase ABC (C-ABC)를 이용하여 제거함으로써 비교원성 단백질의 제거가 상아질 접착제의 미세인장결합강도와 교원질망의 형태에 미치는 영향을 상아질의 다양한 습윤상태에 따라 평가하고자 시행하였다. 비교원성 단백질의 상아질접착제의 미세인장강도에 대한 영향을 평가하기 위해 제 3대구치의 상아질을 노출시키고, 두 군으로 나누고 한 군은 C-ABC, 다른 군은 증류수를 $37^{\circ}C$에서 48시간 동안 적용한 후, 상아질의 습윤상태(wet, dry 및 re-wet)와 상아질 접착제(Single Bond 2, One Step Plus)를 다르게 이용하여 복합레진을 수복하였다. 24시간 후 가로 1 mm, 세로 1mm의 시편을 제작하고 미세인장강도를 측정하였다. 상아질 교원질의 형태변화를 관찰하기 위하여 상아질 시편에 산부식을 시행하고 C-ABC 적용 후, 시편을 제작하였고 미세인장강도 측정후 파괴된 접착면의 파괴양상과 각 접착제의 접착계면 관찰을 위하여 FE-SEM 관찰하였다. C-ABC 처리여부와 관계없이 습윤한 상아질면에 접착한 군은 모든 접착제에서 통계학적으로 유의성있는 미세인장결합강도의 차이를 나타나지 않았다(p > 0.05). C-ABC를 적용하였을 경우, Single Bond 2에서는 재수화한 상아질면에 접착한 군이 습윤한 상아질면에 접착한 군에 비해 미세인장결합강도가 감소하였다(p < 0.05). FE-SEM 관찰결과, C-ABC를 적용후에는 접착성 파괴가 주로 일어났으며, 교원질 섬유간 거리가 증가하였으며 부분적으로 교원질 섬유들간에 응집된 양상이 관찰되었다.

광중합형 글래스아이오노머 시멘트의 전단결합강도에 대한 연구 (A STUDY ON THE SHEAR BOND STRENGTH OF LIGHT-CURED GLASS IONOMER CEMENT)

  • 김현양;태기출;국윤아;김상철
    • 대한치과교정학회지
    • /
    • 제28권5호
    • /
    • pp.689-698
    • /
    • 1998
  • 법랑질의 표면처리에 따른 광중합형 글래스아이오노머 시멘트의 전단결합강도를 알아보고자 사람 소구치 80개를 선택하여 8개군으로 나누어 전단 결합 강도를 측정하고, 접착 파절의 양상을 평가하여 다음과 같은 결과를 얻었다. $1.37\%$ 인산용액으로 부식한 후 건조상태에서 글래스아이오노머 시멘트, 광중합형 레진, 화학중합형 레진으로 각각 부착한 실험군에서 글래스아이오노머 시멘트 실험군의 전단결합강도는 두 군과 유의한 차가 없었으나 화학중합형 레진군의 그것은 광중합형 레진군에 비해 유의성있게 낮았다 (p<0.05). $2.37\%$ 인산 실험군, $10\%$ 폴리 아크릴산 실험군, $1.23\%$ APF 실험군, 산처리하지 않은 실험군의 습한 상태에서 부착한 글래스아이오노머 시멘트의 전단결합강도에서 산처리하지않은 실험군의 그것이 유의성 있게 낮았으며, 그 외 군간에는 유의성 있는 차이가 없었다 (p<0.05). 3. 글래스아이오노머 실험군에서 습기의 존재가 전단결합강도에 유의성 있는 영향을 미치지 않았다 (p<0.05). 4. 산부식처리를 하지않은 군의 접착제 잔류지수가 가장 낮았으며 $37\%$인산용액으로 처리한 군의 접착제 잔류지수가 가장 높았다.

  • PDF

$BCl_3$에 기초한 고밀도 유도결합 플라즈마에 의한 AlGaAs/GaAs 건식식각 비교 (Comparison of Dry Etching of AlGaAs/GaAs in High Density Inductively Coupled $BCl_3$ based Plasmas)

  • 백인규;임완태;이제원;조관식;조국산
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 춘계학술발표강연 및 논문개요집
    • /
    • pp.63-63
    • /
    • 2003
  • 플라즈마 공정은 DRAM, 이종접합 양극성 트랜지스터(HBTs), 레이저, 평면도파로(planar lightwave circuit)와 같은 전자소자 및 광조자 제작에 있어서 핵심 공정중의 하나이다. 최근 미세 구조의 크기가 극도로 감소하게 됨에 따라 실제 소작 제작에 있어서 미세한 모양을 식각하는 공정이 매우 중요하게 되었다. 그 중에서 고밀도 유도결합 플라즈마(high density inductively coupled plasma)를 이용한 기술은 빠르고 정확한 식각률, 우수한 식각 균일도와 높은 재현성 때문에 습식식각 기술보다 선호되고 있다. 본 연구는 평판형(planar) 고밀도 유도결합 플라즈마 식각장치를 이용하여 BCl$_3$와 BCl$_3$/Ar 플라즈마에 따른 AlGaAs/GaAs의 식각결과를 비교 분석하였다. 공정 변수는 ICP 소스(source power)파워, RIE 척(chuck) 파워, 공정 압력, 그리고 Ar 조성비(0-100%)이었다. BCl$_3$에 Ar을 첨가하게 되면 순수한 BCl$_3$ 플라즈마에서의 AlGaAs/GaAs 식각률(> 3000 $\AA$/min) 보다 분당 약 1000$\AA$ 이상 높은 식각률(>4000 $\AA$/min)을 나타내었다. 이 결과는 Ar 플라즈마의 이온보조(ion-assisted)가 식각률 증가에 기인한다고 예측된다. 그리고 전자주사 현미경(SEM)과 원자력간 현미경(AFM)을 사용하여 식각 후 표면 거칠기 및 수직 측벽도 둥을 분석하였다. 마지막으로 XPS를 이용하여 식각된 후에 표면에 남아 있는 잔류 성분 분석을 연구하였다. 본 결과를 종합하면 BCl$_3$에 기초한 평판형 유도결합 플라즈마는 AlGaAs/GaAs 구조의 식각시 많은 우수한 특성을 보여주었다.79$\ell/\textrm{cm}^3$, 0.016$\ell/\textrm{cm}^3$, 혼합재료 2는 0.045$\ell/\textrm{cm}^3$, 0.014$\ell/\textrm{cm}^3$, 혼합재료 3은 0.123$\ell/\textrm{cm}^3$, 0.017$\ell/\textrm{cm}^3$, 혼합재료 4는 0.055$\ell/\textrm{cm}^3$, 0.016$\ell/\textrm{cm}^3$, 혼합재료 5는 0.031$\ell/\textrm{cm}^3$, 0.015$\ell/\textrm{cm}^3$, 혼합재료 6은 0.111$\ell/\textrm{cm}^3$, 0.020$\ell/\textrm{cm}^3$로 나타났다. 3. 단일재료의 악취흡착성능 실험결과 암모니아는 코코넛, 소나무수피, 왕겨에서 흡착능력이 우수하게 나타났으며, 황화수소는 펄라이트, 왕겨, 소나무수피에서 다른 재료에 비하여 상대적으로 우수한 것으로 나타났으며, 혼합충진재는 암모니아의 경우 코코넛과 펄라이트의 비율이 70%:30%인 혼합재료 3번과 소나무수피와 펄라이트의 비율이 70%:30%인 혼합재료 6번에서 다른 혼합재료에 비하여 우수한 것으로 나타났으며, 황화수소의 경우 혼합재료에 따라 약간의 차이를 보였다. 4. 코코넛과 소나무수피의 경우 암모니아가스에 대한 흡착성능은 거의 비슷한 것으로 사료되며, 코코넛의 경우 전량을 수입에 의존하고 있다는 점에서 국내 조달이 용이하며, 구입 비용도 적게 소요되는 소나무수피를 사용하는 것이 경제적이라고 사료된다. 5. 마지막으로 악취제거 미생물균주를 접종한 소나무수피 70%와 펄라이트 30%의 혼합재료를 24시간동안 장기간 운전

  • PDF

플래시 EEPROM 셀에서 ONON(oxide-nitride-oxide-nitride) Inter-Poly 유전체막의 신뢰성 연구 (Study of the Reliability Characteristics of the ONON(oxide-nitride-oxide-nitride) Inter-Poly Dielectrics in the Flash EEPROM cells)

  • 신봉조;박근형
    • 전자공학회논문지D
    • /
    • 제36D권10호
    • /
    • pp.17-22
    • /
    • 1999
  • 이 논문에서는 플래시 EEPROM 셀에서의 데이터 보존 특성을 개선하기 위해서 IPD(inter-poly-dielectrics) 층을 사용하는 새로운 제안에 관한 연구 결과들을 논의하였다. 이 연구를 위하여 약 10nm 두께의 게이트 산호막을 갖으며 또한 ONO 또는 ONON IPD 층을 갖는 적층형-게이트 플래시 EEPROM 셀들을 제작하였다. 측정 결과를 보면 ONO IPD 층을 갖는 소자들은 데이터 보존 특성이 심각하게 열화 되었으며, 그 특성의 활성화 에너지도 0.78 eV로 플래시 EEPROM 셀을 위하여 요구되는 최소 값(1.0 eV)보다 상당히 낮았다. 이는 구동 소자용 트랜지스터(peripheral MOSFET) 소자들의 게이트 산호막을 형성하기 위한 건열산화 공정 바로 직전에 실시하는 세정 공정 동안 IPD 층의 상층 산화막의 일부 또는 전부가 식각되었기 때문인 것으로 믿어진다. 반면에, ONON IPD 층을 갖는 소자들의 데이터 보존 특성은 상단히 (약 50% 이상) 개선되었으며 활성화 에너지도 1.1 eV인 것으로 나타났다. 이는 IPD 층에서 상층 산화막위에 있는 질화막이 그 세정 공정 동안 산화막이 식각되는 것을 방지해 주기 때문임에 틀림없다.

  • PDF