• Title/Summary/Keyword: dry-etching

Search Result 407, Processing Time 0.03 seconds

Striation of coated conductors by photolithography process

  • Byeong-Joo Kim;Miyeon Yoon;Myeonghee Lee;Sang Ho Park;Ji-Kwang Lee;Kyeongdal Choi;Woo-Seok Kim
    • Progress in Superconductivity and Cryogenics
    • /
    • v.25 no.4
    • /
    • pp.50-53
    • /
    • 2023
  • In this study, the photolithography process was chosen to reduce the aspect ratio of the cross-section of a high-temperature superconducting (HTS) tape by dividing the superconducting layer of the tape. Reducing the aspect ratio decreases the magnetization losses in the second-generation HTS tapes generated by AC magnetic fields. The HTS tape used in the experiment has a thin silver (Ag) layer of about 2 ㎛ on top of the REBCO superconducting layer and no additional stabilizer layer. A dry film resist (DFR) was laminated on top of the HTS tape by a lamination method for the segmentation. Exposure to a 395 nm UV lamp on a patterned mask cures the DFR. Dipping with a 1% Na2CO3 solution was followed to develop the uncured film side and to obtain the required pattern. The silver and superconducting layers of the REBCO films were cleaned with an acid solution after the etching. Finally, the segmented HTS tape was completed by stripping the DFR film with acetone.

Dry etching of polycarbonate using O2/SF6, O2/N2 and O2/CH4 plasmas (O2/SF6, O2/N2와 O2/CH4 플라즈마를 이용한 폴리카보네이트 건식 식각)

  • Joo, Y.W.;Park, Y.H.;Noh, H.S.;Kim, J.K.;Lee, S.H.;Cho, G.S.;Song, H.J.;Jeon, M.H.;Lee, J.W.
    • Journal of the Korean Vacuum Society
    • /
    • v.17 no.1
    • /
    • pp.16-22
    • /
    • 2008
  • We studied plasma etching of polycarbonate in $O_2/SF_6$, $O_2/N_2$ and $O_2/CH_4$. A capacitively coupled plasma system was employed for the research. For patterning, we used a photolithography method with UV exposure after coating a photoresist on the polycarbonate. Main variables in the experiment were the mixing ratio of $O_2$ and other gases, and RF chuck power. Especially, we used only a mechanical pump for in order to operate the system. The chamber pressure was fixed at 100 mTorr. All of surface profilometry, atomic force microscopy and scanning electron microscopy were used for characterization of the etched polycarbonate samples. According to the results, $O_2/SF_6$ plasmas gave the higher etch rate of the polycarbonate than pure $O_2$ and $SF_6$ plasmas. For example, with maintaining 100W RF chuck power and 100 mTorr chamber pressure, 20 sccm $O_2$ plasma provided about $0.4{\mu}m$/min of polycarbonate etch rate and 20 sccm $SF_6$ produced only $0.2{\mu}m$/min. However, the mixed plasma of 60 % $O_2$ and 40 % $SF_6$ gas flow rate generated about $0.56{\mu}m$ with even low -DC bias induced compared to that of $O_2$. More addition of $SF_6$ to the mixture reduced etch of polycarbonate. The surface roughness of etched polycarbonate was roughed about 3 times worse measured by atomic force microscopy. However examination with scanning electron microscopy indicated that the surface was comparable to that of photoresist. Increase of RF chuck power raised -DC bias on the chuck and etch rate of polycarbonate almost linearly. The etch selectivity of polycarbonate to photoresist was about 1:1. The meaning of these results was that the simple capacitively coupled plasma system can be used to make a microstructure on polymer with $O_2/SF_6$ plasmas. This result can be applied to plasma processing of other polymers.

UV-nanoimprint Patterning Without Residual Layers Using UV-blocking Metal Layer (UV 차단 금속막을 이용한 잔류층이 없는 UV 나노 임프린트 패턴 형성)

  • Moon Kanghun;Shin Subum;Park In-Sung;Lee Heon;Cha Han Sun;Ahn Jinho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.12 no.4 s.37
    • /
    • pp.275-280
    • /
    • 2005
  • We propose a new approach to greatly simplify the fabrication of conventional nanoimprint lithography (NIL) by combined nanoimprint and photolithography (CNP). We introduce a hybrid mask mold (HMM) made from UV transparent material with a UV-blocking Cr metal layer placed on top of the mold protrusions. We used a negative tone photo resist (PR) with higher selectivity to substrate the CNP process instead of the UV curable monomer and thermal plastic polymer that has been commonly used in NIL. Self-assembled monolayer (SAM) on HMM plays a reliable role for pattern transfer when the HMM is separated from the transfer layer. Hydrophilic $SiO_2$ thin film was deposited on all parts of the HMM, which improved the formation of SAM. This $SiO_2$ film made a sub-10nm formation without any pattern damage. In the CNP technique with HMM, the 'residual layer' of the PR was chemically removed by the conventional developing process. Thus, it was possible to simplify the process by eliminating the dry etching process, which was essential in the conventional NIL method.

  • PDF

Characterization of GaN epitaxial layer grown on nano-patterned Si(111) substrate using Pt metal-mask (Pt 금속마스크를 이용하여 제작한 나노패턴 Si(111) 기판위에 성장한 GaN 박막 특성)

  • Kim, Jong-Ock;Lim, Kee-Young
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.21 no.3
    • /
    • pp.67-71
    • /
    • 2014
  • An attempt to grow high quality GaN on silicon substrate using metal organic chemical vapor deposition (MOCVD), herein GaN epitaxial layers were grown on various Si(111) substrates. Thin Platinum layer was deposited on Si(111) substrate using sputtering, followed by thermal annealing to form Pt nano-clusters which act as masking layer during dry-etched with inductively coupled plasma-reactive ion etching to generate nano-patterned Si(111) substrate. In addition, micro-patterned Si(111) substrate with circle shape was also fabricated by using conventional photo-lithography technique. GaN epitaxial layers were subsequently grown on micro-, nano-patterned and conventional Si (111) substrate under identical growth conditions for comparison. The GaN layer grown on nano-patterned Si (111) substrate shows the lowest crack density with mirror-like surface morphology. The FWHM values of XRD rocking curve measured from symmetry (002) and asymmetry (102) planes are 576 arcsec and 828 arcsec, respectively. To corroborate an enhancement of the growth quality, the FWHM value achieved from the photoluminescence spectra also shows the lowest value (46.5 meV) as compare to other grown samples.

A STUDY ON THE MICROSCOPIC IMAGES OF DENTIN SURFACES IN PRIMARY TEETH ACCORDING TO SURFACE WETNESS AFTER ACID ETCHING (유치 상아질 산부식 후 습윤 정도에 따른 조직상)

  • Oh, Young-Jun;Jung, Tae-Sung;Kim, Shin
    • Journal of the korean academy of Pediatric Dentistry
    • /
    • v.30 no.4
    • /
    • pp.545-553
    • /
    • 2003
  • To achieve good dentin bonding, we must obtain proper wet dentin surface. The purpose of this study was to compare dentin surface according to different wetness degree by AFM image as studying how to obtaining proper wet dentin surface. Intact recently extracted primary teeth were used in the study. The extracted teeth were stored in distilled water at $4^{\circ}C$ until prepared. The teeth were used to prepare 1mm thick dentin disks with exposed surfaces parallel to the occlusal surfaces. The surface of the dentin were polished with polishing disk. The sample were ultrasonically cleaned with distilled water. The sample of each group were treated by different ways. We compared dentin surface of each group by AFM image. From the experiment, the following results were obtained. 1. Acid etching in the dentin surface of primary teeth, resulted in the removal of the smear layer, which opened dentinal tubules, caused the demineralization of peritubular and intertubular dentin, and exposed a collagen-rich transition zone. 2. If the etched dentin was so dehydrated, the intertubular dentin surfaces deceased in height and the diameters of the dentinal tubules decreased slightly. 3. In the group dried with compressed air for 20 seconds at 2 cm, the dentin surfaces were too excessive dried and dehydrated. 4. In the group dried with compressed air for 3 seconds at 2 cm, dry cotton, wet cotton, microbrush and absorbent tissue paper, the dentin surfaces were properly wet.

  • PDF

The surface kinetic properties between $BCl_3/Cl_2$/Ar plasma and $Al_2O_3$ thin film

  • Yang, Xue;Kim, Dong-Pyo;Um, Doo-Seung;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.169-169
    • /
    • 2008
  • To keep pace with scaling trends of CMOS technologies, high-k metal oxides are to be introduced. Due to their high permittivity, high-k materials can achieve the required capacitance with stacks of higher physical thickness to reduce the leakage current through the scaled gate oxide, which make it become much more promising materials to instead of $SiO_2$. As further studying on high-k, an understanding of the relation between the etch characteristics of high-k dielectric materials and plasma properties is required for the low damaged removal process to match standard processing procedure. There are some reports on the dry etching of different high-k materials in ICP and ECR plasma with various plasma parameters, such as different gas combinations ($Cl_2$, $Cl_2/BCl_3$, $Cl_2$/Ar, $SF_6$/Ar, and $CH_4/H_2$/Ar etc). Understanding of the complex behavior of particles at surfaces requires detailed knowledge of both macroscopic and microscopic processes that take place; also certain processes depend critically on temperature and gas pressure. The choice of $BCl_3$ as the chemically active gas results from the fact that it is widely used for the etching o the materials covered by the native oxides due to the effective extraction of oxygen in the form of $BCl_xO_y$ compounds. In this study, the surface reactions and the etch rate of $Al_2O_3$ films in $BCl_3/Cl_2$/Ar plasma were investigated in an inductively coupled plasma(ICP) reactor in terms of the gas mixing ratio, RF power, DC bias and chamber pressure. The variations of relative volume densities for the particles were measured with optical emission spectroscopy (OES). The surface imagination was measured by AFM and SEM. The chemical states of film was investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts.

  • PDF

Controlled Formation of Surface Wrinkles and Folds on Poly (dimethylsiloxane) Substrates Using Plasma Modification Techniques

  • Nagashima, So;Hasebe, Terumitsu;Hotta, Atsushi;Suzuki, Tetsuya;Lee, Kwang-Ryeol;Moon, Myoung-Woon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.223-223
    • /
    • 2012
  • Surface engineering plays a significant role in fabricating highly functionalized materials applicable to industrial and biomedical fields. Surface wrinkles and folds formed by ion beam or plasma treatment are buckling-induced patterns and controlled formation of those patterns has recently gained considerable attention as a way of creating well-defined surface topographies for a wide range of applications. Surface wrinkles and folds can be observed when a stiff thin layer attached to a compliant substrate undergoes compression and plasma treatment is one of the techniques that can form stiff thin layers on compliant polymeric substrates, such as poly (dimethylsiloxane) (PDMS). Here, we report two effective methods using plasma modification techniques for controlling the formation of surface wrinkles and folds on flat or patterned PDMS substrates. First, we show a method of creating wrinkled diamond-like carbon (DLC) film on grooved PDMS substrates. Grooved PDMS substrates fabricated by a molding method using a grooved master prepared by photolithography and a dry etching process were treated with argon plasma and subsequently coated with DLC film, which resulted in the formation of wrinkled DLC film aligning perpendicular to the steps of the pre-patterned ridges. The wavelength and the amplitude of the wrinkled DLC film exhibited variation in the submicron- to micron-scale range according to the duration of argon plasma pre-treatment. Second, we present a method for controlled formation of folds on flat PDMS substrates treated with oxygen plasma under large compressive strains. Flat PDMS substrates were strained uniaxially and then treated with oxygen plasma, resulting in the formation of surface wrinkles at smaller strain levels, which evolved into surface folds at larger strain levels. Our results demonstrate that we can control the formation and evolution of surface folds simply by controlling the pre-strain applied to the substrates and/or the duration of oxygen plasma treatment.

  • PDF

Fabrication of Optically Active Nanostructures for Nanoimprinting

  • Jang, Suk-Jin;Cho, Eun-Byurl;Park, Ji-Yun;Yeo, Jong-Souk
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.393-393
    • /
    • 2012
  • Optically active nanostructures such as subwavelength moth-eye antireflective structures or surface enhanced Raman spectroscopy (SERS) active structures have been demonstrated to provide the effective suppression of unwanted reflections as in subwavelength structure (SWS) or effective enhancement of selective signals as in SERS. While various nanopatterning techniques such as photolithography, electron-beam lithography, wafer level nanoimprinting lithography, and interference lithography can be employed to fabricate these nanostructures, roll-to-roll (R2R) nanoimprinting is gaining interests due to its low cost, continuous, and scalable process. R2R nanoimprinting requires a master to produce a stamp that can be wrapped around a quartz roller for repeated nanoimprinting process. Among many possibilities, two different types of mask can be employed to fabricate optically active nanostructures. One is self-assembled Au nanoparticles on Si substrate by depositing Au film with sputtering followed by annealing process. The other is monolayer silica particles dissolved in ethanol spread on the wafer by spin-coating method. The process is optimized by considering the density of Au and silica nano particles, depth and shape of the patterns. The depth of the pattern can be controlled with dry etch process using reactive ion etching (RIE) with the mixture of SF6 and CHF3. The resultant nanostructures are characterized for their reflectance using UV-Vis-NIR spectrophotometer (Agilent technology, Cary 5000) and for surface morphology using scanning electron microscope (SEM, JEOL JSM-7100F). Once optimized, these optically active nanostructures can be used to replicate with roll-to-roll process or soft lithography for various applications including displays, solar cells, and biosensors.

  • PDF

Comparison of Dry Etching of GaAs in Inductively Coupled $BCl_3$ and $BCl_3/Ar$ Plasmas ($BCl_3$$BCl_3/Ar$ 유도결합 플라즈마에 따른 GaAs 건식식각 비교)

  • ;;;;;S.J Pearton
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2003.03a
    • /
    • pp.62-62
    • /
    • 2003
  • 고밀도 유도결합 플라즈마(high density inductively coupled plasma) 식각은 GaAs 이종접합 양극성 트랜지스터(HBTs)와 고속전자 이동도 트랜지스터(HEMTs)와 같은 GaAs 기반 반도체의 정교한 패턴을 형성하는데 더욱 많이 이용되고 있다 본 연구는 고밀도 플라즈마 소스(source)인 평판형(planar) 고밀도 유도결합 플라즈마 식각장치를 이용하여 $BCl_3$$BCl_3/Ar$ 가스에 따른 GaAs 식각결과를 비교 분석하였다. 공정변수는 ICP 소스 파워를 0-500W, RIE 척(chuck) 파워를 0-150W, 공정압력을 0-15 mTorr 이었다. 그리고 가스 유량은 20sccm(standard cubic centimeter per minute)으로 고정시킨 상태에서 Ar 첨가 비율에 따른 GaAs의 식각결과를 관찰하였다. 공정 결과는 식각률(etch rate), GaAs 대 PR의 선택도(selectivity), 표면 거칠기(roughness)와 식각후 표면에 남아 있는 잔류 가스등을 분석하였다. 20 $BCl_3$ 플라즈마를 이용한 GaAs 식각률 보다 Ar이 첨가된 (20-x) $BC1_3/x Ar$ 플라즈마의 식각률이 더 우수하다는 것을 알 수 있었다. 식각률 증가는 Ar 가스의 첨가로 인한 GaAs 반도체와 Ar 플라즈마의 충돌로 나타난 결과로 예측된다. $BCl_3$$BC1_3/Ar$ 플라즈마에 노출된 GaAs 반도체 모두 표면이 평탄하였고 수직 측벽도 또한 우수하였다. 그리고 표면에 잔류하는 성분은 Ga와 As 이외에 $Cl_2$ 계열의 불순물이 거의 발견되지 않아 매우 깨끗함을 확인하였다. 이번 발표에서는 $BCl_3$$BCl_3/Ar$ 플라즈마를 이용한 GaAs의 건식식각 비교에 대해 상세하게 보고 할 것이다.

  • PDF

Surface Modification by Atmospheric Pressure DBDs Plasma: Application to Electroless Ni Plating on ABS Plates

  • Song, Hoshik;Choi, Jin Moon;Kim, Tae Wan
    • Transactions on Electrical and Electronic Materials
    • /
    • v.14 no.3
    • /
    • pp.133-138
    • /
    • 2013
  • Acrylonitrile-butadiene-styrene (ABS) plastic is a polymer material extensively used in electrical and electronic applications. Nickel (Ni) thin film was deposited on ABS by electroless plating, after its surface was treated and modified with atmospheric plasma generated by means of dielectric barrier discharges (DBDs) in air. The method in this study was developed as a pre-treatment for electroless plating using DBDs, and is a dry process featuring fewer processing steps and more environmentally friendliness than the chemical method. After ABS surfaces were modified, surface morphologies were observed using a scanning electron microscope (SEM) to check for any physical changes of the surfaces. Cross-sectional SEM images were taken to observe the binding characteristics between metallic films and ABS after metal plating. According to the SEM images, the depths of ABS by plasma are shallow compared to those modified by chemically treatment. The static contact angles were measured with deionized (DI) water droplets on the modified surfaces in order to observe for any changes in chemical activities and wettability. The surfaces modified by plasma showed smaller contact angles, and their modified states lasted longer than those modified by chemical etching. Adhesion strengths were measured using 3M tape (3M 810D standard) and by 90° peel-off tests. The peel-off test revealed the stronger adhesion of the Ni films on the plasma-modified surfaces than on the chemically modified surfaces. Thermal shock test was performed by changing the temperature drastically to see if any detachment of Ni film from ABS would occur due to the differences in thermal expansion coefficients between them. Only for the plasma-treated samples showed no separation of the Ni films from the ABS surfaces in tests. The adhesion strengths of metallic films on the ABS processed by the method developed in this study are better than those of the chemically processed films.