• Title/Summary/Keyword: dry-etching

Search Result 407, Processing Time 0.03 seconds

Bioinspired superhydrophobic steel surfaces

  • Heo, Eun-Gyu;O, Gyu-Hwan;Lee, Gwang-Ryeol;Mun, Myeong-Un
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.509-509
    • /
    • 2011
  • Superhydrophobic surfaces on alloyed steels were fabricated with a non-conventional method of plasma etching and subsequent water immersion procedure. High aspect ratio nanopatterns of nanoflake or nano-needle were created on the steels with various Cr content in its composition. With CF4 plasma treatment in radio-frequence chemical vapor deposition (r.-f. CVD) method, steel surfaces were etched and fluorinated by CF4 plasma, which induced the nanopattern evolution through the water immersion process. It was found that fluorine ion played a role as a catalyst to form nanopatterns in water elucidated with XPS and TEM analysis. The hierarchical patterns in micro- and nano scale leads to superhydrophobic properties on the surfaces by deposition of a hydrophobic coating with a-C:H:Si:O film deposited with a gas precursor of hexamethlydisiloxane (HMDSO) with its lower surface energy of 24.2 mN/m, similar to that of curticular wax covering lotus surfaces. Since this method is based on plasma dry etching & coating, precise patterning of surface texturing would be potential on steel or metal surfaces. Patterned hydrophobic steel surfaces were demonstrated by mimicking the Robinia pseudoacacia or acacia leaf, on which water was collected from the humid air using a patterned hydrophobicity on the steels. It is expected that this facile, non-toxic and fast technique would accelerate the large-scale production of superhydrophobic engineering materials with industrial applications.

  • PDF

A Study on Etching of Si3N4 Thin Film and the Exhausted Gas Using C3F6 Gas for LCD Process (LCD 공정용 C3F6 가스를 이용한 Si3N4 박막 식각공정 및 배출가스에 관한 연구)

  • Jeon, S.C.;Kong, D.Y.;Pyo, D.S.;Choi, H.Y.;Cho, C.S.;Kim, B.H.;Lee, J.H.
    • Journal of the Korean Vacuum Society
    • /
    • v.21 no.4
    • /
    • pp.199-204
    • /
    • 2012
  • $SF_6$ gas is widely used for dry etching process of semiconductor and display fabrication process. But $SF_6$ gas is considered for typical greenhouse gas for global warming. So it is necessary to research relating to $SF_6$ alternatives reducing greenhouse effect in semiconductor and display. $C_3F_6$ gas is one of the promising candidates for it. We studied about etch characteristics by performing Reactive Ion Etching process of dry etching and reduced gas element exhausted on etching process using absorbent Zeolite 5A. $Si_3N_4$ thin film was deposited to 500 nm with Plasma Enhanced Chemical Vapor Deposition and we performed Reactive Ion Etching process after patterning through photolithography process. It was observed that the etch rate and the etched surface of $Si_3N_4$ thin film with Scanning Electron Microscope pictures. And we measured and compared the exhausted gas before and after the absorbent using Gas Chromatograph-Mass Spectrophotometry.

Dry etching properties of PST thin films using chlorine-based inductively coupled plasma (Chlorine-based 유도결합 플라즈마를 이용한 PST 박막의 건식 식각 특성)

  • Kim, Gwan-Ha;Kim, Kyoung-Tae;Kim, Dong-Pyo;Lee, Cheol-In;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.400-403
    • /
    • 2003
  • Etching characteristics of (Pb,Sr)$TiO_3$(PST) thin films were investigated using inductively coupled chlorine based plasma system as functions of gas mixing ratio, RF power and DC bias voltage. It was found that increasing of Ar content in gas mixture lead to sufficient increasing of etch rate and selectivity of PST to Pt. The maximum etch rate of PST film is $562\;{\AA}$/min and the selectivity of PST film to Pt is 0.8 at $Cl_2/(Cl_2+Ar)$ of 20 %. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products.

  • PDF

The Dry Etching Properties on TiN Thin Film Using an N2/BCl3/Ar Inductively Coupled Plasma

  • Woo, Jong-Chang;Joo, Young-Hee;Park, Jung-Soo;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • v.12 no.4
    • /
    • pp.144-147
    • /
    • 2011
  • In this work, we present a study regarding the etching characteristics on titanium nitride (TiN) thin films using an inductively coupled plasma system. The TiN thin film was etched using a $N_2/BCl_3$/Ar plasma. The studied etching parameters were the gas mixing ratio, the radio frequency (RF) power, the direct current (DC)-bias voltages, and the process pressures. The baseline conditions were as follows: RF power = 500 W, DC-bias voltage = -150 V, substrate temperature = $40^{\circ}C$, and process pressure = 15 mTorr. The maximum etch rate and the selectivity of the TiN to the $SiO_2$ thin film were 62.38 nm/min and 5.7, respectively. The X-ray photoelectron spectroscopy results showed no accumulation of etching byproducts from the etched surface of the TiN thin film. Based on the experimental results, the etched TiN thin film was obtained by the chemical etching found in the reactive ion etching mechanism.

Dry Etching Characteristics of BLT Thin Film (BLT 박막의 건식 식각 특성에 관한 연구)

  • Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the KIEE Conference
    • /
    • 2003.10a
    • /
    • pp.309-311
    • /
    • 2003
  • The effects of etch parameters on dry etching of BLT thin films were investigated with ICP etch system in $Cl_2$/Ar and $BCl_2/Cl_2$/Ar gas. The etch rate and etch selectivity of BLT films were examined as a function of gas concentration, ICP power, bias power, and pressure. The maximum etch rates of 191.1 nm/min was obtained at the mixed etch condition of $BCl_3(20%)/Cl_2$/Ar, 700 W ICP RF power, 12 mTorr pressure and 400 W substrate RF power. As ICP power and rf power increased, the etch rate of BLT increased. As pressure increased, the etch rate of BLT decreased. The changes of radicals in both $Cl_2$/Ar and $BCl_3/Cl_2$/Ar plasma were measured with using optical emission spectroscopy (OES).

  • PDF

A Study on dry decapsulation by Using a UV Laser (자외선 레이저를 이용한 건식디캡슐레이션에 관한 연구)

  • Hong, Y.S.;Kim, J.B.;Seo, M.H.;Choi, J.H.;Yoon, M.K.;Nam, G.J.
    • Laser Solutions
    • /
    • v.11 no.1
    • /
    • pp.7-11
    • /
    • 2008
  • Decapsulation technology is useful to inspect EMC of package device and the etching technology enable to check inside of device by removing plastic molding. Chemical etching method is used widely to fabricate a lot of semiconductor. But the method has some disadvantage due to wet process. Proposed method in this paper shows the application possibility such as fast processing time, processing accuracy and dry process. These result was obtained by directly removing of packed EMC using UV laser.

  • PDF

Fabrication of 8 inch Polyimide-type Electrostatic Chuck (폴리이미드형 8인치 정전기척의 제조)

  • 조남인;박순규;설용태
    • Journal of the Semiconductor & Display Technology
    • /
    • v.1 no.1
    • /
    • pp.9-13
    • /
    • 2002
  • A polyimide-type electrostatic chuck (ESC) was fabricated for the application of holding 8-inch silicon wafers in the oxide etching equipment. For the fabrication of the unipolar ESC, core technologies such as coating of polyimide films and anodizing treatment of aluminum surface were developed. The polyimide films were prepared on top of thin coated copper substrates for the good electrical contacts, and the helium gas cooling technique was used for the temperature uniformity of the silicon wafers. The ESC was essentially working with an unipolar operation, which was easier to fabricate and operate compared to a bipolar operation. The chucking force of the ESC has been measured to be about 580 gf when the applied voltage was 1.5 kV, which was considered to be enough force to hold wafers during the dry etching processing. The employment of the ESC in etcher system could make 8% enhancement of the wafer processing yield.

  • PDF

Enhanced Cathode-Luminescence in a InxGa1-xN/InyGa1-y Green Light Emitting Diode Structure Using Two-Dimensional Photonic Crystals

  • Choi, Eui-Sub;Lee, Jae-Jin
    • Journal of Electrical Engineering and Technology
    • /
    • v.3 no.2
    • /
    • pp.276-279
    • /
    • 2008
  • We report on the enhancement of cathode-luminescence in an $In_xGa_{1-x}N/In_yGa_{1-y}$ green light emitting diode structure using two-dimensional photonic crystals. The square lattice arrays of photonic crystals with diameter/periodicity of 200/500 nm were fabricated by electron beam lithography. Inductively coupled plasma dry etching was used to etch and define photonic crystals. Three samples with different etch depths, i.e., 170, 95, and 65 nm, were constructed. Field emission scanning electron microscope analysis shows that air holes of photonic crystal structure with inverted-cone shapes were fabricated after dry etching. Cathode-luminescence measurement indicated that up to 30-fold enhancement of cathode-luminescence intensity has been achieved.

Dry Etch Characteristics of TiN Thin Film for Metal Gate Electrode (Metal 게이트 전극을 위한 TiN 박막의 건식 식각 특성)

  • Um, Doo-Seung;Woo, Jong-Chang;Park, Jung-Soo;Kim, Chang-Il
    • Journal of the Korean institute of surface engineering
    • /
    • v.42 no.4
    • /
    • pp.169-172
    • /
    • 2009
  • We investigated the dry-etching mechanism of the TiN thin film using a $Cl_2$/Ar inductively coupled plasma system. To understand the effect of the $Cl_2$/Ar gas mixing ratio, we etched the TiN thin film by varying $Cl_2$/Ar gas mixing ratio. When the gas mixing ratio was 100% $Cl_2$, the highest etch rate was obtained. The chemical reaction on the surface was investigated with X-ray photoelectron spectroscopy (XPS). Scanning electron microscopy (SEM) was used to examine etched profiles of the TiN thin film.

Optimization of Backside Etching with High Uniformity for Large Area Transmission-Type Modulator

  • Lee, Soo-Kyung;Na, Byung-Hoon;Ju, Gun-Wu;Choi, Hee-Ju;Lee, Yong-Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.319-320
    • /
    • 2012
  • Large aperture optical modulator called optical shutter is a key component to realize time-of-flight (TOF) based three dimensional (3D) imaging systems [1-2]. The transmission type electro-absorption modulator (EAM) is a prime candidate for 3D imaging systems due to its advantages such as small size, high modulation performance [3], and ease of forming two dimensional (2D) array over large area [4]. In order to use the EAM for 3D imaging systems, it is crucial to remove GaAs substrate over large area so as to obtain high uniformity modulation performance at 850 nm. In this study, we propose and experimentally demonstrate techniques for backside etching of GaAs substrate over a large area having high uniformity. Various methods such as lapping and polishing, dry etching for anisotropic etching, and wet etching ([20%] C6H8O7 : H2O2 = 5:1) for high selectivity backside etching [5] are employed. A high transmittance of 80% over the large aperture area ($5{\times}5mm^2$) can be obtained with good uniformity through optimized backside etching method. These results reveal that the proposed methods for backside etching can etch the substrate over a large area with high uniformity, and the EAM fabricated by using backside etching method is an excellent candidate as optical shutter for 3D imaging systems.

  • PDF