• 제목/요약/키워드: dry-etch

검색결과 201건 처리시간 0.027초

유기 킬레이터들을 이용한 구리 식각에 대한 반응성 평가 (Reactivity Evaluation on Copper Etching Using Organic Chelators)

  • 김철희;임은택;박찬호;박성용;이지수;정지원;김동욱
    • 한국재료학회지
    • /
    • 제31권10호
    • /
    • pp.569-575
    • /
    • 2021
  • The reactivity evaluation of copper is performed using ethylenediamine, aminoethanol, and piperidine to apply organic chelators to copper etching. It is revealed that piperidine, which is a ring-type chelator, has the lowest reactivity on copper and copper oxide and ethylenediamine, which is a chain-type chelator, has the highest reactivity via inductively coupled plasma-mass spectroscopy (ICP-MS). Furthermore, it is confirmed that the stable complex of copper-ethylenediamine can be formed during the reaction between copper and ethylenediamine using nuclear magnetic resonance (NMR) and radio-thin layer chromatography. As a final evaluation, the copper reactivity is evaluated by wet etching using each solution. Scanning electron micrographs reveal that the degree of copper reaction in ethylenediamine is stronger than that in any other chelator. This result is in good agreement with the evaluation results obtained by ICP-MS and NMR. It is concluded that ethylenediamine is a prospective etch gas for the dry etching of the copper.

바이오 센서 적용을 위한 수직형 이중게이트 InGaAs TFET의 게이트 열화 현상 분석 (Constant Voltage Stress (CVS) and Hot Carrier Injection (HCI) Degradations of Vertical Double-date InGaAs TFETs for Bio Sensor Applications)

  • 백지민;김대현
    • 센서학회지
    • /
    • 제31권1호
    • /
    • pp.41-44
    • /
    • 2022
  • In this study, we have fabricated and characterized vertical double-gate (DG) InGaAs tunnel field-effect-transistors (TFETs) with Al2O3/HfO2 = 1/5 nm bi-layer gate dielectric by employing a top-down approach. The device exhibited excellent characteristics including a minimum subthreshold swing of 60 mV/decade, a maximum transconductance of 141 µS/㎛, and an on/off current ratio of over 103 at 20℃. Although the TFETs were fabricated using a dry etch-based top-down approach, the values of DIBL and hysteresis were as low as 40 mV/V and below 10 mV, respectively. By evaluating the effects of constant voltage and hot carrier injection stress on the vertical DG InGaAs TFET, we have identified the dominant charge trapping mechanism in TFETs.

O2/SF6/CH4 플라즈마를 이용한 플렉시블 Polycarbonate와 PMMA의 건식 식각 (Dry Etching of Flexible Polycarbonate and PMMA in O2/SF6/CH4 Discharges)

  • 주영우;박연현;노호섭;김재권;이제원
    • 한국진공학회지
    • /
    • 제18권2호
    • /
    • pp.85-91
    • /
    • 2009
  • 현재 플렉시블 폴리머를 이용한 MEMS (Microelectromechanical Systems) 기술이 빠르게 발전하고 있다. 그 중에서 Polycarbonate (PC), Poly Methyl Methacrylate (PMMA)와 같은 플렉시블 폴리머 재료는 광학적 특성이 우수하고 인체 친화적이며 미세 패턴 제조 공정이 용이하다는 등의 많은 장점을 가지고 있다. 본 연구는 반응성 이온 식각 기술을 이용하여 $O_2$, $SF_6$ 그리고 $CH_4$의 삼성분계 가스의 혼합 비율에 따른 PC와 PMMA의 건식 식각 결과 및 특성 평가에 관한 것이다. 준비한 각각의 기판에 포토리소그래피 방법으로 마스크를 형성하여 샘플을 만들었다. RF 척 파워를 100 W, 총 가스 유량을 10 sccm으로 고정시켜 플라즈마 식각 실험을 실시하였다. 그 결과에 의하면 전체적으로 PMMA의 식각율이 PC보다는 약 2배 정도 높았다. 그 결과는 PC는 PMMA 보다 상대적으로 높은 녹는점을 가지고 있다는 사실과 관계가 있다고 생각한다. 또한 $O_2/SF_6/CH_4$의 삼성분계 가스와 $SF_6/CH_4$, $O_2/SF_6$, $O_3/CH_4$로 나누었을 때 $O_2/SF_6$의 혼합 가스에서 PMMA와 PC의 식각 속도가 가장 높았다 (PC: 5 sccm $O_2$/5 sccm $SF_6$에서 약 350 nm/min, PMMA: 2.5 sccm $O_2$/7.5 sccm $SF_6$에서 약 570 nm/min). SEM을 활용하여 식각된 표면을 분석한 결과 PC는 PMMA보다 상대적으로 식각 표면이 더 매끈하였다. 또한 표면 거칠기 분석결과 PC의 표면 거칠기는 1.9$\sim$3.88 nm이었지만 PMMA의 표면 거칠기는 17.3$\sim$26.1 nm로 현저하게 높았음을 확인할 수 있었다.

Cu oxide의 형성과 H(hfac) 반응을 이용한 Cu 박막의 건식식각 (Cu dry etching by the reaction of Cu oxide with H(hfac))

  • 양희정;홍성진;조범석;이원희;이재갑
    • 한국재료학회지
    • /
    • 제11권6호
    • /
    • pp.527-532
    • /
    • 2001
  • O$_2$plasma와 H(hfac)을 이용한 Cu 박막의 건식 식각을 조사하였다. 휘발성이 큰 Cu(hfac)$_2$$H_2O$를 탈착시키기 위하여 $O_2$ Plasma를 이용한 Cu 박막의 산화와 생성된 Cu 산화막을 H(hfac)과의 반응으로 제거하는 공정으로 식각을 수행하였다. Cu 박막의 식각율은 50-700 /min의 범위를 보였으며, 기판온도, H(hfac)/O$_2$ 유량비, plasma power에 따라 변하였다. Cu 박막의 식각율은 기판온도 215$^{\circ}C$보다 높은 온도구간에서 RF power가 증가함에 따라 증가하였고, 산화 공정과 H (hfac)과의 반응이 균형을 이루는 최적의 H (hfac)/O$_2$ 유량비는 1:1임을 확인하였다. Ti mask를 사용한 Cu Patterning은 유량비 1 : 1, 기판온도 25$0^{\circ}C$에서 실시하였고, 30$^{\circ}$외 taper slope를 갖는 등방성 etching profile을 얻을 수 있었다. Taper angle을 갖는 Cu 건식 patterning은 고해상도의 대면적 thin film transistor liquid-crystal(TFT-LCDs)를 위래 필요한 것으로써 기판온도, RF power, 유량비를 조절한 one-step 공정으로부터 성공적으로 얻을 수 있었다.

  • PDF

Optimization of Backside Etching with High Uniformity for Large Area Transmission-Type Modulator

  • Lee, Soo-Kyung;Na, Byung-Hoon;Ju, Gun-Wu;Choi, Hee-Ju;Lee, Yong-Tak
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.319-320
    • /
    • 2012
  • Large aperture optical modulator called optical shutter is a key component to realize time-of-flight (TOF) based three dimensional (3D) imaging systems [1-2]. The transmission type electro-absorption modulator (EAM) is a prime candidate for 3D imaging systems due to its advantages such as small size, high modulation performance [3], and ease of forming two dimensional (2D) array over large area [4]. In order to use the EAM for 3D imaging systems, it is crucial to remove GaAs substrate over large area so as to obtain high uniformity modulation performance at 850 nm. In this study, we propose and experimentally demonstrate techniques for backside etching of GaAs substrate over a large area having high uniformity. Various methods such as lapping and polishing, dry etching for anisotropic etching, and wet etching ([20%] C6H8O7 : H2O2 = 5:1) for high selectivity backside etching [5] are employed. A high transmittance of 80% over the large aperture area ($5{\times}5mm^2$) can be obtained with good uniformity through optimized backside etching method. These results reveal that the proposed methods for backside etching can etch the substrate over a large area with high uniformity, and the EAM fabricated by using backside etching method is an excellent candidate as optical shutter for 3D imaging systems.

  • PDF

Fabrication of Optically Active Nanostructures for Nanoimprinting

  • Jang, Suk-Jin;Cho, Eun-Byurl;Park, Ji-Yun;Yeo, Jong-Souk
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제43회 하계 정기 학술대회 초록집
    • /
    • pp.393-393
    • /
    • 2012
  • Optically active nanostructures such as subwavelength moth-eye antireflective structures or surface enhanced Raman spectroscopy (SERS) active structures have been demonstrated to provide the effective suppression of unwanted reflections as in subwavelength structure (SWS) or effective enhancement of selective signals as in SERS. While various nanopatterning techniques such as photolithography, electron-beam lithography, wafer level nanoimprinting lithography, and interference lithography can be employed to fabricate these nanostructures, roll-to-roll (R2R) nanoimprinting is gaining interests due to its low cost, continuous, and scalable process. R2R nanoimprinting requires a master to produce a stamp that can be wrapped around a quartz roller for repeated nanoimprinting process. Among many possibilities, two different types of mask can be employed to fabricate optically active nanostructures. One is self-assembled Au nanoparticles on Si substrate by depositing Au film with sputtering followed by annealing process. The other is monolayer silica particles dissolved in ethanol spread on the wafer by spin-coating method. The process is optimized by considering the density of Au and silica nano particles, depth and shape of the patterns. The depth of the pattern can be controlled with dry etch process using reactive ion etching (RIE) with the mixture of SF6 and CHF3. The resultant nanostructures are characterized for their reflectance using UV-Vis-NIR spectrophotometer (Agilent technology, Cary 5000) and for surface morphology using scanning electron microscope (SEM, JEOL JSM-7100F). Once optimized, these optically active nanostructures can be used to replicate with roll-to-roll process or soft lithography for various applications including displays, solar cells, and biosensors.

  • PDF

Analysis of Electrical Characteristics of AlGaN/GaN on Si Large SBD by Changing Structure

  • Lee, Hyun-Soo;Jung, Dong Yun;Park, Youngrak;Jang, Hyun-Gyu;Lee, Hyung-Seok;Jun, Chi-Hoon;Park, Junbo;Mun, Jae Kyoung;Ryu, Sang-Ouk;Ko, Sang Choon;Nam, Eun Soo
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제17권3호
    • /
    • pp.354-362
    • /
    • 2017
  • We investigated the improvement in electrical characteristics of large AlGaN/GaN on Si Schottky barrier diode (SBD) induced by structural change to achieve a better trade-off between the forward and reverse performance to obtain high power conversion efficiency in PFC converter. Using an optimized dry etch condition for a large device, we fabricated three-types of SBD with 63 mm channel width: conventional, recessed, recessed dual-anode-metal SBD. The recessed dual-anode-metal SBD exhibited a very low turn-on voltage of 0.34 V, a high forward current of 1.63 A at 1.5 V, a leakage current of $114{\mu}A$ at -15 V, a breakdown voltage of 794 V.

건식 식각 공정 시뮬레이션을 위한 효율적인 그림자 테스트 알고리즘과 토포그래피 진화에 대한 연구 (Efficient Shadow-Test Algorithm for the Simulation of Dry Etching and Topographical Evolution)

  • 권오섭;반용찬;원태영
    • 전자공학회논문지D
    • /
    • 제36D2호
    • /
    • pp.41-47
    • /
    • 1999
  • 본 논문에서는 플라즈마 건식 식각 공정을 모의 실험하기 위하여 셀 제거 방법을 적용하여 개발한 시뮬레이터의 성능을 보고한다. 마스크의 기하학적 형상에 의한 그림자 효과(shadow effect)를 고려하기 위한 알고리즘과, 오차의 누적을 막기 위한 알고리즘을 새로이 적용하였다. 입사하는 이온의 분포를 계산하기 위해서 해석적 모델과 몬테 카를로 방법을 모두 적용하였다. 또한 사용자가 유닉스(UNIX) 환경에서 공정 조건을 편리하게 입력할 수 있도록 그래픽 사용자 환경(graphic user interface, GUI)을 개발하였다. 개발된 3D-SURFILER(SURface proFILER)의 성능을 검증하기 위한 콘택 홀(contact hol) 구조의 시뮬레이션에서 셀의 수를 36,000($30{\times}40{\times}30$)으로 설정하여 시뮬레이션하였을 때 SUN ULTRA 1 시스템에서 약 10Mbyte의 메모리가 사용되었으며, 시뮬레이션 시간을 20분이었다. 종횡비(aspect ratio)가 1.57인 콘택 홀 구조에서 반응성 이온 식각(reactive ion etching, RIE)을 시뮬레이션하였으며, 이온의 증속 식각의 정도를 나타내는 손상 계수의 변화와 압력이 600mTorr일 때의 이온의 입사 분포에 의한 토포그래픽(topography) 진화를 시뮬레이션하였다.

  • PDF

$BCl_3$$BCl_3/Ar$ 유도결합 플라즈마에 따른 GaAs 건식식각 비교 (Comparison of Dry Etching of GaAs in Inductively Coupled $BCl_3$ and $BCl_3/Ar$ Plasmas)

  • 임완태;백인규;이제원;조관식;조국산
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 춘계학술발표강연 및 논문개요집
    • /
    • pp.62-62
    • /
    • 2003
  • 고밀도 유도결합 플라즈마(high density inductively coupled plasma) 식각은 GaAs 이종접합 양극성 트랜지스터(HBTs)와 고속전자 이동도 트랜지스터(HEMTs)와 같은 GaAs 기반 반도체의 정교한 패턴을 형성하는데 더욱 많이 이용되고 있다 본 연구는 고밀도 플라즈마 소스(source)인 평판형(planar) 고밀도 유도결합 플라즈마 식각장치를 이용하여 $BCl_3$$BCl_3/Ar$ 가스에 따른 GaAs 식각결과를 비교 분석하였다. 공정변수는 ICP 소스 파워를 0-500W, RIE 척(chuck) 파워를 0-150W, 공정압력을 0-15 mTorr 이었다. 그리고 가스 유량은 20sccm(standard cubic centimeter per minute)으로 고정시킨 상태에서 Ar 첨가 비율에 따른 GaAs의 식각결과를 관찰하였다. 공정 결과는 식각률(etch rate), GaAs 대 PR의 선택도(selectivity), 표면 거칠기(roughness)와 식각후 표면에 남아 있는 잔류 가스등을 분석하였다. 20 $BCl_3$ 플라즈마를 이용한 GaAs 식각률 보다 Ar이 첨가된 (20-x) $BC1_3/x Ar$ 플라즈마의 식각률이 더 우수하다는 것을 알 수 있었다. 식각률 증가는 Ar 가스의 첨가로 인한 GaAs 반도체와 Ar 플라즈마의 충돌로 나타난 결과로 예측된다. $BCl_3$$BC1_3/Ar$ 플라즈마에 노출된 GaAs 반도체 모두 표면이 평탄하였고 수직 측벽도 또한 우수하였다. 그리고 표면에 잔류하는 성분은 Ga와 As 이외에 $Cl_2$ 계열의 불순물이 거의 발견되지 않아 매우 깨끗함을 확인하였다. 이번 발표에서는 $BCl_3$$BCl_3/Ar$ 플라즈마를 이용한 GaAs의 건식식각 비교에 대해 상세하게 보고 할 것이다.

  • PDF

Low-k Polyimide상의 금속배선 형성을 위한 식각 기술 연구 (A Study on the Etcting Technology for Metal Interconnection on Low-k Polyimide)

  • 문호성;김상훈;안진호
    • 한국재료학회지
    • /
    • 제10권6호
    • /
    • pp.450-455
    • /
    • 2000
  • 실리콘 소자가 더욱 미세화되면서, 발생되는 power consumption, crosstalk와 interconnection delay 등을 감소시키기 위해 $SiO_2$ 대신에 저유전 상수막의 적용이 고려되어진다. 본 논문에서는, 저유전 상수 층간 절연막 재료로 유망한 폴리이미드의 식각 특성에 $O_2/SF_6$ 가스가 미치는 영향을 연구하였다. 폴리이미드의 식각률을 SF(sub)6 가스의 첨가에 따라 산소와 hydrocarbon 폴리머 간의 반응을 억제하는 비휘발성 물질은 fluorine 화합물의 형성에 의해 감소되었다. 반면에, 기판 전극의 전압 증가는 물리적인 충격을 통해 식각 공정을 증가시켰다. 또한 작은 량의 SF(sub)6 가스 첨가는 식각 topography에 바람직하였다. 폴리이미드 식각을 위한 $SiO_2$ hard mask 사용은 산소 플라즈마 식각 하에서 효과적이었다(선택비-30). 반면에 $O_2SF_6$ 가스 조성은 식각 선택비를 4로 저하시키게 되었다. 이러한 결과를 기초로, $1-2\mu\textrm{m}$ 선폭을 가진 PI 2610의 식각을 원활히 수행할 수 있었다.

  • PDF