• Title/Summary/Keyword: damascene

Search Result 59, Processing Time 0.025 seconds

Antioxidant and hepatoprotective action of the crude ethanolic extract of the flowering top of Rosa damascena

  • Alam, MA;Nyeem, MAB;Awal, MA;Mostofa, M;Alam, MS;Subhan, N;Rahman, M Mostafizur
    • Advances in Traditional Medicine
    • /
    • v.8 no.2
    • /
    • pp.164-170
    • /
    • 2008
  • The hepatoprotective activity of the alcoholic extract of Rosa damascena was studied against paracetamol induced acute hepatotoxicity in rats. Liver damage was assessed by estimating serum enzyme activities of aspartate aminotransferase, alanine aminotransferase, alkaline phosphatase and histopathology of liver tissue. Pre- and post-treatment with ethanolic extracts showed a dose-dependent reduction of paracetamol induced elevated serum levels of enzyme activity. The mechanism underlying the protective effects was assayed in vitro and the R. damascena extracts displayed dosedependent free radical activity using DPPH ($IC_{50}=162.525\;{\mu}g/ml$) and TBA method. The hepatoprotective action was confirmed by histopathological observation. The ethanolic extracts reversed paracetamol induced liver injury. These results suggest that the hepatoprotective effects of R. damascena extracts are related to its antioxidative activity.

The Study of ILD CMP Using Abrasive Embedded Pad (고정입자 패드를 이용한 층간 절연막 CMP에 관한 연구)

  • 박재홍;김호윤;정해도
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1117-1120
    • /
    • 2001
  • Chemical mechanical planarization(CMP) has emerged as the planarization technique of choice in both front-end and back-end integrated circuit manufacturing. Conventional CMP process utilize a polyurethane polishing pad and liquid chemical slurry containing abrasive particles. There have been serious problems in CMP in terms of repeatability and defects in patterned wafers. Since IBM's official announcement on Copper Dual Damascene(Cu2D) technology, the semiconductor world has been engaged in a Cu2D race. Today, even after~3years of extensive R&D work, the End-of-Line(EOL) yields are still too low to allow the transition of technology to manufacturing. One of the reasons behind this is the myriad of defects associated with Cu technology. Especially, dishing and erosion defects increase the resistance because they decrease the interconnection section area, and ultimately reduce the lifetime of the semiconductor. Methods to reduce dishing & erosion have recently been interface hardness of the pad, optimization of the pattern structure as dummy patterns. Dishing & erosion are initially generated an uneven pressure distribution in the materials. These defects are accelerated by free abrasive and chemical etching. Therefore, it is known that dishing & erosion can be reduced by minimizing the abrasive concentration. Minimizing the abrasive concentration by using Ce$O_2$ is the best solution for reducing dishing & erosion and for removal rate. This paper introduce dishing & erosion generating mechanism and a method for developing a semi-rigid abrasive pad to minimize dishing & erosion during CMP.

  • PDF

Analgesic and anti-inflammatory activity of a polyherbal formulation (PHFAROGH)

  • Mohan, M;Gulecha, VS;Aurangabadkar, VM;Balaraman, R;Austin, A;Thirugnanasampathan, S
    • Advances in Traditional Medicine
    • /
    • v.9 no.3
    • /
    • pp.232-237
    • /
    • 2009
  • The effect of arogh, a polyherbal formulation-PHF [each 3 g powder contained Nelumbo nucifera G. (0.24 g), Hemidesmus indicus R. (0.24 g), Zingiber officinale R. (0.24 g), Terminalia chebula R. (0.24 g), Quercus infectoria O. (0.12 g), Hibiscus rosa-sinensis L. (0.24 g), Rosa damascene M.(0.24 g), Eclipta alba H.(0.24 g), Glycyrrhiza glabra L. (0.24 g)] was investigated in various experimental models of pain and inflammation. Analgesic activity of PHF was studied in mice using acetic acid induced writhing, tail immersion and hot plate methods. Anti-inflammatory activity of PHF was studied in rats using carrageenan induced hind paw edema and formalin induced rat paw edema methods. PHF significantly (P < 0.05) reduced the number of writhings, increased latency to flick tail in tail immersion method and elevated the mean basal reaction time in hot plate method. PHF significantly (P < 0.05) inhibited carrageenan induced hind paw edema and formalin induced rat paw edema. The PHF was tested at dose of 30, 100, 300 and 500 mg/kg.

Polishing Characteristics of Pt Electrode Materials by Addition of Oxidizer (산화제 첨가에 따른 백금 전극 물질의 연마 특성)

  • Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1384-1385
    • /
    • 2006
  • Platinum is a candidate of top and bottom electrode in ferroelectric random access memory and dynamic random access memory. High dielectric materials and ferroelectric materials were generally patterned by plasma etching, however, the low etch rate and low etching profile were repoted. We proposed the damascene process of high dielectric materials and ferroelectric materials for patterning process through the chemical mechanical polishing process. At this time, platinum as a top electrode was used for the stopper for the end-point detection as Igarashi model. Therefore, the control of removal rate in platinum chemical mechanical polishing process was required. In this study, an addition of $H_{2}O_{2}$ oxidizer to alumina slurry could control the removal rate of platinum. The removal rate of platinum rapidly increased with an addition of 10wt% $H_{2}O_{2}$ oxidizer from 24.81nm/min to 113.59nm/min. Within-wafer non-uniformity of platinum after chemical mechanical polishing process was 9.93% with an addition of 5wt% $H_{2}O_{2}$ oxidizer.

  • PDF

Surface Characterization of Cu as Electrolyte in ECMP (ECMP 공정에서 전해질에 따른 Cu 표면 특성 평가)

  • Kwon, Tae-Young;Kim, In-Kwon;Cho, Byung-Gwun;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.528-528
    • /
    • 2007
  • Cu CMP widely has been using for the formation of multilevel metal interconnects by the Cu damascene process. And lower dielectric constant materials are required for the below 45nm technology node. As the dielectric constant of dielectric materials are smaller, the strength of dielectric materials become weaker. Therefore these materials are easily damaged by high down pressure during conventional CMP. Also, technical problems such as surface scratches, delamination, dishing and erosion are also occurred. In order to overcome these problems in CMP, the ECMP (electro-chemical mechanical planarization) has been introduced. In this process, abrasive free electrolyte, soft pad and low down force were used. The electrolyte is one of important factor to solve these problems. Also, additives are required to improve the removal rate, uniformity, surface roughness, defects, and so on. In this study, KOH and $NaNO_3$ based electrolytes were used for Cu ECMP and the electrochemical behavior was evaluated by the potentiostat. Also, the Cu surface was observed by SEM as a function of applied voltage and chemical concentration.

  • PDF

Voltage-Activated Electrochemical Reaction of Chemical Mechanical Polishing (CMP) Application (CMP공정의 전압 활성화로 인한 전기화학적 반응 특성 연구)

  • Han, Sang-Jun;Park, Sung-Woo;Lee, Sung-Il;Lee, Young-Kyun;Choi, Gwon-Woo;Lee, Woo-Sun;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.81-81
    • /
    • 2007
  • Chemical mechanical polishing (CMP) 공정은 deep 서브마이크론 집적회로의 다층배선구조률 실현하기 위해 inter-metal dielectric (IMD), inter-layer dielectric layers (ILD), pre-metal dielectric (PMD) 층과 같은 절연막 외에도 W, Al, Cu와 같은 금속층을 평탄화 하는데 효과적으로 사용되고 있으며, 다양한 소자 제작 및 새로운 물질 등에도 광범위하게 응용되고 있다. 하지만 Cu damascene 구조 제작으로 인한 CMP 응용 과정에서, 기계적으로 깨지기 쉬운 65 nm의 소자 이하의 구조에서 새로운 저유전상수인 low-k 물질의 도입으로 인해 낮은 하력의 기계적 연마가 필요하게 되었다. 본 논문에서는 전기화학적 기계적 연마 적용을 위해, I-V 특성 곡선을 이용하여 active, passive, transient, trans-passive 영역의 전기화학적 특성을 알아보았으며, Cu 막의 표면 형상을 알아보기 위해 scanning electron microscopy (SEM) 측정과 energy dispersive spectroscopy (EDS) 분석을 통해 금속 화학적 조성을 조사하였다.

  • PDF

Optimization of Electrolytes on Cn ECMP Process (Cu ECMP 공정에 사용디는 전해액의 최적화)

  • Kwon, Tae-Young;Kim, In-Kwon;Cho, Byung-Gwun;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.78-78
    • /
    • 2007
  • In semiconductor devices, Cu has been used for the formation of multilevel metal interconnects by the damascene technique. Also lower dielectric constant materials is needed for the below 65 nm technology node. However, the low-k materials has porous structure and they can be easily damaged by high down pressure during conventional CMP. Also, Cu surface are vulnerable to have surface scratches by abrasive particles in CMP slurry. In order to overcome these technical difficulties in CMP, electro-chemical mechanical planarization (ECMP) has been introduced. ECMP uses abrasive free electrolyte, soft pad and low down-force. Especially, electrolyte is an important process factor in ECMP. The purpose of this study was to characterize KOH and $KNO_3$ based electrolytes on electro-chemical mechanical. planarization. Also, the effect of additives such as an organic acid and oxidizer on ECMP behavior was investigated. The removal rate and static etch rate were measured to evaluate the effect of electro chemical reaction.

  • PDF

Cu(dmamb)2 전구체를 이용한 구리박막제조 시 캐리어가스가 박막성장에 미치는 영향

  • Choe, Jong-Mun;Lee, Do-Han;Jin, Seong-Eon;Lee, Seung-Mu;Byeon, Dong-Jin;Jeong, Taek-Mo;Kim, Chang-Gyun
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2009.11a
    • /
    • pp.29.2-29.2
    • /
    • 2009
  • 구리는 낮은 비저항, 높은 열전도도, 우수한 electromigration(EM)저항특성 등을 바탕으로 차세대 nano-scale집적회로의 interconnect application에 적합한 금속재료로서 각광받고 있다. copper interconnect는 damascene process 를주로 이용하는데 CVD를 이용하면 step coverage가우수한 seed layer얻을 수 있어 고집적 소자의 구현이 가능하다. 최근에 비 균등화 반응(disproportionationreaction)을 이용하여 고 순도 구리박막을 제조하기위해 $\beta$-diketonate Cu(I) Lewis-base의 전구체를 많이 이용하는데 그중에서 hexafluoroacetylacetonate(hfac)Cu(I)vinyltrimethylsilane (VTMS)가 널리 이용되고 있다. 그러나 (hfac)Cu(I)(VTMS) 또는 유사계열의 전구체들은 열적안정성및 보관안정성이 부족하여 실제 양산공정에 적합하지 못한 단점이 있었다. 본 연구에 이용된 2가 전구체Cu(dmamb)2는 높은 증기압($70^{\circ}C$, 0.9torr)을 가지며 종래에 주로 이용하던 1가 전구체 (hfac)Cu(VTMS)에 비해 높은 활성화 에너지(~113 kJ/mol)를가짐으로서 열적안정성 및 보관안정성이 우수하다. 다른 한편으로 2가전구체는 안정성이 우수한 만큼 낮은 증기압을 극복하기 위해 리간드에 플루오르를 주로 치환하여 증기압을 높이는데 플루오르는 성장하는 박막의 접착력을약하게 하는 단점을 가진다. 하지만 본 연구에 사용된 Cu(dmamb)2는 리간드에 플루오르를 포함하지 않으며, 따라서 고품질의 박막을 용이한성장환경에서 제조할 수 있는 장점들을 제공한다. 비활성가스 분위기에서 2가전구체는 열에너지에 의해 리간드의 자가환원에따라 금속-리간드 분해가 발생한다. 하지만 수소분위기에서는수소가 환원제로 작용하여 리간드의 분해를 용이하게 하는 특징을 가지며 따라서 비활성분위기일 때 비해 낮은 성장온도를 가진다. 또한 수소는 잔류하는 리간드 및 불순물과 결합하여 휘발성화학종들을 생성하여 고순도의 구리박막제조를 가능하게한다.

  • PDF

Cu/SiO2 CMP Process for Wafer Level Cu Bonding (웨이퍼 레벨 Cu 본딩을 위한 Cu/SiO2 CMP 공정 연구)

  • Lee, Minjae;Kim, Sarah Eunkyung;Kim, Sungdong
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.2
    • /
    • pp.47-51
    • /
    • 2013
  • Chemical mechanical polishing (CMP) has become one of the key processes in wafer level stacking technology for 3D stacked IC. In this study, two-step CMP process was proposed to polish $Cu/SiO_2$ hybrid bonding surface, that is, Cu CMP was followed by $SiO_2$ CMP to minimize Cu dishing. As a result, Cu dishing was reduced down to $100{\sim}200{\AA}$ after $SiO_2$ CMP and surface roughness was also improved. The bonding interface showed no noticeable dishing or interface line, implying high bonding strength.

Electrochemical Metallization Processes for Copper and Silver Metal Interconnection (구리 및 은 금속 배선을 위한 전기화학적 공정)

  • Kwon, Oh Joong;Cho, Sung Ki;Kim, Jae Jeong
    • Korean Chemical Engineering Research
    • /
    • v.47 no.2
    • /
    • pp.141-149
    • /
    • 2009
  • The Cu thin film material and process, which have been already used for metallization of CMOS(Complementary Metal Oxide Semiconductor), has been highlighted as the Cu metallization is introduced to the metallization process for giga - level memory devices. The recent progresses in the development of key elements in electrochemical processes like surface pretreatment or electrolyte composition are summarized in the paper, because the semiconductor metallization by electrochemical processes such as electrodeposition and electroless deposition controls the thickness of Cu film in a few nm scales. The technologies in electrodeposition and electroless deposition are described in the viewpoint of process compatibility between copper electrodeposition and damascene process, because a Cu metal line is fabricated from the Cu thin film. Silver metallization, which may be expected to be the next generation metallization material due to its lowest resistivity, is also introduced with its electrochemical fabrication methods.