• 제목/요약/키워드: coupled beam

검색결과 659건 처리시간 0.032초

유도결합 $Cl_2/CHF_3, Cl_2/CH_4, Cl_2/Ar $플라즈마를 이용한 InGaN 건식 식각 반응 기구 연구

  • 이도행;김현수;염근영;이재원;김태일
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.249-249
    • /
    • 1999
  • GaN과 같은 III-nitride 반도체 관한 식각 기술의 연구는 blue-emitting laser diode(LD)를 위한 경면(mirror facet)의 형성뿐만아니라 새로운 display 용도의 light emitting diodes (LED), 고온에서 작동되는 광전소자 제조 등에도 그 중요성이 증대되고 있다. 최근에는 III-nitride 물질의 높은 식각속도와 미려하고 수직한 식각형상을 이루기 위하여 ECR(Electron Cyclotron Resonance)이나 ICP(Inductively Coupled Plasma)와 같은 고밀도 플라즈마 식각과 CAIBE(Chemically assisted ion beam etching)를 이용한 연구가 진행되고 있다. 현재 제조되어 지고 있는 LED 및 LD와 같은 광소자의 구조의 대부분은 p-GaN/AlGaN/InGaN(Q.W)/AlGaN/n-GaN 와 같은 여러 층의 형태로 이루어져 있다. 이중 InGaN는 광소자나 전자소자의 특성에 영향을 주는 가장 중요한 부분으로써 현재까지 보고된 식각연구는 undoped GaN에 대부분 집중되고 있고 이에 비해 소자 특성에 핵심을 이루는 InGaN의 식각특성에 관한 연구는 미흡한 상황이다. 본 연구에서는 고밀도 플라즈마원인 ICP 장비를 이용하여 InGaN를 식각하였고, 식각에는 Cl2/CH4, Cl2/Ar 플라즈마를 사용하였다. InGaN의 식각특성에 영향을 미치는 플라즈마의 특성을 관찰하기 위하여 quadrupole mass spectrometry(QMS)와 optical emission spectroscopy(PES)를 사용하였다. 기판 온도는 5$0^{\circ}C$, 공정 압력은 5,Torr에서 30mTorr로 변화시켰고 inductive power는 200~800watt, bias voltage는 0~-200voltage로 변화시켰으며 식각마스크로는 SiO2를 patterning 하여 사용하였다. n-GaN, p-GaN 층 이외에 광소자 제조시 필수적인 InGaN 층을 100% Cl2로 식각한 경우에 InGaN의 식각속도가 GaN에 비해 매우 낮은 식각속도를 보였다. Cl2 gas에 소량의 CH4나 Ar gas를 첨가하는 경우와 공정압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%Ar 플라즈마에서 공정 압력을 감소시키는 경우 식각속도는 증가하였고, Cl2/10%CHF3 와 Cl2/10%Ar 플라즈마에서 공정압력을 15mTorr로 감소시키는 경우 InGaN과 GaNrks의 선택적인 식각이 가능하였다. InGaN의 식각속도는 Cl2/Ar 플라즈마의 이온에 의한 Cl2/CHF3(CH4) 플라즈마에서의 CHx radical 형성에 의하여 증가하는 것으로 사료되어 진다.

  • PDF

Motion and sloshing analysis for new concept of offshore storage unit

  • Ha, Mun-Keun;Kim, Mun-Sung;Paik, Bu-Keun;Park, Chung-Hum
    • 한국해양공학회:학술대회논문집
    • /
    • 한국해양공학회 2000년도 추계학술대회 논문집
    • /
    • pp.189-195
    • /
    • 2000
  • New concept of LNG-FPSO ship with moonpool and bilge step in bottom is considered and investigated in the point of motion reduction and sloshing phenomena of the cargo and operation tanks. The cargo capacity of the ship of which principle dimensions is L x B x D x t(design) =270.0 x 51.0 x 32.32 x 13.7(m) 16K at 98% loading condition. The two moonpools and rectangular step at bilge part are setted up specially for getting the effect of motion decrease. For the motion analysis, linearized three dimensional diffraction theory with the simplified boundary conditions is used. The six-degree of freedom coupled motion responses are calculated for the LNG-FPSO ship. Viscous effects on the roll motion responses of a vessel are taken into account in this calculation program using an empirical formula suggested by Ikeda, Himeno and Tanaka is used. The case study for the moonpool size had been carried out by theoretical estimation and experimental method. For the optimization of the moonpool size and effect of the step, 9 cases of its size and with and without step are considered. From the results of calculation and experiment, it can be concluded that this designed LNG-FPSO ship have possibility to carry out her missions in the rough sea as for the owner's demand waves condition. The motion responses, especially roll motion, for the designed LNG-FPSO ship are much lower than those of another drillship and shuttle tanker and limit criterions are satisfied. For the check of the cargo tank and operation tank sizes we have performed sloshing analysis in the irregular waves which focuses on the pressure distribution on the tank wall and the time history of pressure and free surface for No.2 and No5. tanks of LNG-FPSO with chamfers. Finally we got the tank size which has no resonance and no impact pressure in all filling in the bow quartering and beam sea.

  • PDF

광강도형 광섬유 진동센서를 이용한 진동감지 및 충격위치 측정 (Vibration Sensing and Impact Location Measurement Using Intensity-Based Optical Fiber Vibration Sensor)

  • 양유창;황운봉;박현철;한경섭
    • Composites Research
    • /
    • 제13권5호
    • /
    • pp.1-9
    • /
    • 2000
  • 광강도형 광섬유 진동센서를 이용한 구조물의 진동감지 및 판에서의 충격위치 검출에 관한 연구가 수행되었다. 광섬유 진동센서는 유리 모세관의 내부에 광섬유의 클래딩 부분이 서로 마주보게 하여 제작되며 그 중 한 쪽은 외팔보 형태이다. 진동이 센서에 가해지면 센서 내부의 외팔보가 진동하게 되고 그에 따라 맞은편 광섬유로 전해지는 빛의 강도가 변화하게 된다. 진동감지 실험을 위해 광섬유 진동센서를 복합재료 보의 표면에 부착하고 자유 진동 및 강제 진동에 대한 신호를 취득하였다. 충격 위치 검출에 관한 실험은 아크릴 판에 대하여 알려진 위치에 네 개의 센서를 표면에 부착하고 진동의 도달 시간을 FFT를 이용하여 측정하였다. 충격위치는 이러한 시간차이를 이용하여 계산되어졌다. 광섬유 진동센서는 상용센서인 갭센서와 동일하게 구조물의 진동을 감지하였으며 판에서의 충격위치를 비교적 정확히 측정하였다.

  • PDF

Experimental Demonstration of Enhanced Transmission Due to Impedance-matching Si3N4 Layer in Perforated Gold Film

  • Park, Myung-Soo;Yoon, Su-Jin;Hwang, Je-Hwan;Kang, Sang-Woo;Kim, Deok-kee;Ku, Zahyun;Urbas, Augustine;Lee, Sang Jun
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.359-359
    • /
    • 2014
  • In this study, surface plasmon resonance structures for the selective and the enhanced transmission of infrared light were designed. In order to relieve the large discontinuity of refractive index between air and metal hole array, $Si_3N_4$ was used as the impedance matching layer. Experimental parameter were calculated and determined in advance by the rigorous coupled wave analysis (RCWA) simulation, and then the experiment was carried out. A 2-dimensional metal hole array structures were patterned on the size of $1{\times}1cm^2$ GaAs substrate using photolithography process, and 5 nm thick Ti, 50 nm thick Au were deposited by E-beam evaporator, respectively. Subsequently, $Si_3N_4$ films with various thicknesses (150, 350, 550, and 750 nm) were deposited by plasma enhanced chemical vapor deposition (PECVD). For the comparison, transmittance of specimens with and without $Si_3N_4$ was measured using Fourier transform infrared spectroscopy (FTIR) in the range of $2.5-15{\mu}m$. Furthermore, the surface and the cross-sectional images were collected from the specimens by scanning electron microscopy (SEM). From the results, it was demonstrated that the transmittance was enhanced up to 80% by the deposition of 750 nm $Si_3N_4$ at $6.23{\mu}m$. It has advantage of enhanced transmission despite the simple fabrication process.

  • PDF

저온 플라즈마 반응기에서의 수정충돌주파수를 이용한 실리콘 나노 입자 형성 모델링

  • 김영석;김동빈;김형우;김태성
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.217.1-217.1
    • /
    • 2014
  • 반도체 및 디스플레이 산업은 많은 공정들에서 저온 플라즈마 반응을 이용한다. 특히 소자 제작을 위한 실리콘 박막의 증착은 저온 플라즈마 공정의 주요 공정이다. 하지만 실리콘 박막을 합성하는데 있어서 저온 플라즈마에서 형성되는 실리콘 나노 입자는, 오염입자로써 박막의 특성을 악화시켜 소자생산 수율을 악화시키는 주요 원인이 되고 있다. 따라서 플라즈마에서 입자 형성의 원인이 되는 화학반응 및 입자들의 성장 매커니즘에 대한 연구는, 1980년대 플라즈마 공정에서 입자 합성이 보고된 이래 공정의 최적화를 위해 꾸준히 연구되어왔다. 이러한 매커니즘의 연구들은, 플라즈마 화학반응에 의해 실리콘 입자 핵을 만들어 내는 과정과 입자들이 충돌에 의해 성장해가는 과정으로 나눠진다. 플라즈마 화학 반응 과정은 아레니우스 방정식에 의해 정의된 반응계수를 이용하여 플라즈마 내 전자와 이온, 중성 화학종들이 전자 온도와 전자 밀도, 챔버 온도 등에 의해 결정되는 현상을 모사한다. 또한 이 과정에서 실리콘을 포함하는 화학종들의 반응에 의해 핵이 생성 되가는 양상을 모사한다. 생성된 핵은 충돌에 의해 입자가 성장해 가는 과정의 가장 작은 입자로써 이용된다. 입자들이 성장해가는 과정은 입자들이 서로 충돌하면서 다양한 입경의 입자로 분화되어가는 현상을 모사한다. 이 과정에 의해 다양한 입경분포로 분화된 입자들은 플라즈마 내 전자에 의해 하전되며, 이러한 하전 양상은 입경에 따라 다른 분포를 보인다. 본 연구에서는 입자의 하전 분포를 고려하여, 입자들의 성장의 주요 원인인 입자간의 충돌을 대표하는 충돌주파수를 수정하는 방식을 채택하여 보다 정밀한 입자 성장 양상을 모델링하였다. Inductively coupled plasma (ICP) 타입의 저온 플라즈마 반응기에서 합성된 입자들을 Particle Beam Mass Spectrometer (PBMS)와 Scanning Electron Microscope (SEM)를 이용하여 입경분포를 측정한 데이터와 모델링에 의해 계산된 결과를 비교하여 본 모델의 유효성을 검증하였다. 검증을 위해 100~300 mtorr의 챔버 압력 조건과 100~350 W의 입력 전력 조건들을 달리하며 측정한 결과와 계산한 데이터를 조건별로 비교하였다.

  • PDF

저온 증착 Nano-Crystalline TCO

  • 홍문표
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2010년도 제39회 하계학술대회 초록집
    • /
    • pp.6-6
    • /
    • 2010
  • Indium Tin Oxide (ITO)를 포함한 Transparent Conduction Oxide (TCO)는 LCD, OLED와 같은 Display, 그리고 Solar Cell 등 광신호와 전기신호간 변환이 필요한 모든 Device에 반드시 필요한 핵심 물질로, 특히 고특성 Display의 투명전극에서 요청되는 95% 이상의 투과도와 $15\;{\Omega}/{\square}$ 이하의 면저항 특성을 동시에 만족할 수 있는 기술은 현재까지 Plasma Sputtering 공정으로 $160^{\circ}C$ 이상에서 증착된 ITO 박막이 유일하다. 그러나, 최근 차세대 기술로서 Plastic Film을 기반으로 하는 Flexible Display 및 Flexible Solar Cell 구현에 대한 요구가 급증하면서, Plastic Film 기판위에 Plasma Damage이 없이 상온에 가까운 저온 ($100^{\circ}C$ 이하)에서 특성이 우수한 ITO 투명전극을 형성 할 수 있는 기술의 확보가 중요한 현안이 되고 있다. 지난 10년 동안 $100^{\circ}C$이하 저온에서 고특성의 ITO 또는 TCO 박막을 얻기위한 다양한 연구와 구체적인 공정이 활발히 연구되어 왔으나, ITO의 결정화 온도 (통상 $150{\sim}180^{\circ}C$)이하에서 증착된 ITO박막은 비정질 상태의 물성적 특성을 보여 원하는 전기적, 광학적 특성확보가 어려웠다. 본 논문에선 기본적으로 절연체 특성을 가져야 하는 산화물인 TCO가 반도체 또는 도체의 물리적 특성을 보여주는 기본원리의 고찰을 토대로, 재료학적 특성상 Crystalline 구조를 보여야 하는 ITO (Complex Cubic Bixbyte Structure)가 Plasma Sputtering 공정으로 저온에서 증착될 때 비정질 구조를 갖게 되는 원인을 규명하고, 이를 바탕으로 저온에서 증착된 ITO가 Crystalline 구조를 유지 할 수 있게 하고, Stress Control에 유리한 Nano-Crystalline 박막을 형성하면서 Crystallinity를 임의로 조절 할 수 있는 새로운 기술인 Magnetic Field Shielding Sputtering (MFSS) 공정과 최근 성과를 소개한다. 한편, 또 다른 새로운 저온 TCO 박막형성 기술로서, 유기반도체와 같은 Process Damage에 매우 취약한 유기물 위에 Plasma Damage 없이 TCO 박막을 직접 형성할 수 있는 Neutral Beam Assisted Sputtering (NBAS) 기술의 원리를 설명하고, 본 공정을 적용한 Top Emission OLED 소자의 결과를 소개한다. 또한, 고온공정이 수반되는 Solar Cell용 투명전극의 경우, 통상의 TCO박막이 고온공정을 거치면서 전기적 특성이 열화되는 원인을 규명하고, 이에 대한 근본적 해결 방법으로 ITO 박막의 Dopant인 Tin (Sn) 원자의 활성화를 증가시킨 Inductively Coupled Plasma Assisted DC Magnetron Sputtering (ICPDMS)의 원리와 박막의 물성적 특성과 내열 특성을 소개한다.

  • PDF

원자층 식각을 이용한 Sub-32 nm Metal Gate/High-k Dielectric CMOSFETs의 저손상 식각공정 개발에 관한 연구

  • 민경석;김찬규;김종규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.463-463
    • /
    • 2012
  • ITRS (international technology roadmap for semiconductors)에 따르면 MOS(metal-oxide-semiconductor)의 CD (critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/$SiO_2$를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두된다고 보고하고 있다. 일반적으로 high-k dielectric를 식각시 anisotropic 한 식각 형상을 형성시키기 위해서 plasma를 이용한 RIE (reactive ion etching)를 사용하고 있지만 PIDs (plasma induced damages)의 하나인 PIED (plasma induced edge damage)의 발생이 문제가 되고 있다. PIED의 원인으로 plasma의 direct interaction을 발생시켜 gate oxide의 edge에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 high-k dielectric의 식각공정에 HDP (high density plasma)의 ICP (inductively coupled plasma) source를 이용한 원자층 식각 장비를 사용하여 PIED를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. One-monolayer 식각을 위한 1 cycle의 원자층 식각은 총 4 steps으로 구성 되어 있다. 첫 번째 step은 Langmuir isotherm에 의하여 표면에 highly reactant atoms이나 molecules을 chemically adsorption을 시킨다. 두 번째 step은 purge 시킨다. 세 번째 step은 ion source를 이용하여 발생시킨 Ar low energetic beam으로 표면에 chemically adsorbed compounds를 desorption 시킨다. 네 번째 step은 purge 시킨다. 결과적으로 self limited 한 식각이 이루어짐을 볼 수 있었다. 실제 공정을 MOS의 high-k dielectric에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU (North Carolina State University) CVC model로 구한 EOT (equivalent oxide thickness)는 변화가 없으면서 mos parameter인 Ion/Ioff ratio의 증가를 볼 수 있었다. 그 원인으로 XPS (X-ray photoelectron spectroscopy)로 gate oxide의 atomic percentage의 분석 결과 식각 중 발생하는 gate oxide의 edge에 trap의 감소로 기인함을 확인할 수 있었다.

  • PDF

중성빔 식각을 이용한 Metal Gate/High-k Dielectric CMOSFETs의 저 손상 식각공정 개발에 관한 연구

  • 민경석;오종식;김찬규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.287-287
    • /
    • 2011
  • ITRS(international technology roadmap for semiconductors)에 따르면 MOS (metal-oxide-semiconductor)의 CD(critical dimension)가 45 nm node이하로 줄어들면서 poly-Si/SiO2를 대체할 수 있는 poly-Si/metal gate/high-k dielectric이 대두되고 있다. 일반적으로 metal gate를 식각시 정확한 CD를 형성시키기 위해서 plasma를 이용한 RIE(reactive ion etching)를 사용하고 있지만 PIDs(plasma induced damages)의 하나인 PICD(plasma induced charging damage)의 발생이 문제가 되고 있다. PICD의 원인으로 plasma의 non-uniform으로 locally imbalanced한 ion과 electron이 PICC(plasma induced charging current)를 gate oxide에 발생시켜 gate oxide의 interface에 trap을 형성시키므로 그 결과 소자 특성 저하가 보고되고 있다. 그러므로 본 연구에서는 이에 차세대 MOS의 metal gate의 식각공정에 HDP(high density plasma)의 ICP(inductively coupled plasma) source를 이용한 중성빔 시스템을 사용하여 PICD를 줄일 수 있는 새로운 식각 공정에 대한 연구를 하였다. 식각공정조건으로 gas는 HBr 12 sccm (80%)와 Cl2 3 sccm (20%)와 power는 300 w를 사용하였고 200 eV의 에너지로 식각공정시 TEM(transmission electron microscopy)으로 TiN의 anisotropic한 형상을 볼 수 있었고 100 eV 이하의 에너지로 식각공정시 하부층인 HfO2와 높은 etch selectivity로 etch stop을 시킬 수 있었다. 실제 공정을 MOS의 metal gate에 적용시켜 metal gate/high-k dielectric CMOSFETs의 NCSU(North Carolina State University) CVC model로 effective electric field electron mobility를 구한 결과 electorn mobility의 증가를 볼 수 있었고 또한 mos parameter인 transconductance (Gm)의 증가를 볼 수 있었다. 그 원인으로 CP(Charge pumping) 1MHz로 gate oxide의 inteface의 분석 결과 이러한 결과가 gate oxide의 interface trap양의 감소로 개선으로 기인함을 확인할 수 있었다.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Design and Vibration Analysis of Tri-axis Linear Vibratory MEMS Gyroscope

  • Seok, Seyeong;Moon, Sanghee;Kim, Kanghyun;Kim, Suhyeon;Yang, Seongjin;Lim, Geunbae
    • 센서학회지
    • /
    • 제26권4호
    • /
    • pp.235-238
    • /
    • 2017
  • In this study, the design of a tri-axis micromachined gyroscope is proposed and the vibration characteristic of the structure is analyzed. Tri-axis vibratory gyroscopes that utilize Coriolis effect are the most commonly used micromachined inertial sensors because of their advantages, such as low cost, small packaging size, and low power consumption. The proposed design is a single structure with four proof masses, which are coupled to their adjacent ones. The coupling springs of the proof masses orthogonally transfer the driving vibrational motion. The resonant frequencies of the gyroscope are analyzed by finite element method (FEM) simulation. The suspension beam spring design of proof masses limits the resonance frequencies of four modes, viz., drive mode, pitch, roll and yaw sensing mode in the range of 110 Hz near 21 kHz, 21173 Hz, 21239 Hz, 21244 Hz, and 21280 Hz, respectively. The unwanted modes are separated from the drive and sense modes by more than 700 Hz. Thereafter the drive and the sense mode vibrations are calculated and simulated to confirm the driving feasibility and estimate the sensitivity of the gyroscope. The cross-axis sensitivities caused by driving motion are 1.5 deg/s for both x- and y-axis, and 0.2 deg/s for z-axis.