• 제목/요약/키워드: branch length

검색결과 615건 처리시간 0.029초

연안 연승어구에 있어서 아릿줄의 굵기와 길이가 조획에 미치는 영향 (The effect of hooking on thickness and length of branch line in fishing gear of long line at the coastal waters)

  • 양진성;김석종
    • 수산해양기술연구
    • /
    • 제48권1호
    • /
    • pp.51-58
    • /
    • 2012
  • As a basic study to improve hooking ability of long line fishing gear, which is widely used around Jeju-do coast, the researcher performed hooking experiment of parrot fish by manufacturing and installing 7 kinds of model long line fishing gears, whose thickness of branch line are different and 8 kinds of model long line fishing gear, whose length of branch line are different, in indoor circular aquarium, which is installed for the model experiment of thickness and length of branch line that are various by fishing implement and improper. The hooking rate depending on thickness and length of branch line was calculated and the effect of thickness and length of branch line on hooking rate was analyzed. Its results are as follows. When branch line was thin and long, high hooking rate appeared. In the scope of value setting, the relationship between thickness ($B_t$) of branch line and total hooking rate ($Th_r$) can be shown as following formula as. In the scope of value setting, the relationship between length ($B_t$) of branch line and total hooking rate ($B_t$) can be shown as $Th_r=-20.83B_t+26.04$. Through Pearson correlation analysis, the coefficient of correlation between thickness of branch line and hooking rate was -0.718. Therefore it showed significance in 0.01 significance level. Through Pearson correlation analysis, the coefficient of correlation between length of branch line and hooking rate was 0.431. Therefore it showed significance in 0.01 significance level.

농림수산식품분야 정보처리를 위한 적응하는 분기히스토리 길이를 갖는 분기예측 메커니즘 (A Branch Prediction Mechanism With Adaptive Branch History Length for FAFF Information Processing)

  • 고광현;조영일
    • 현장농수산연구지
    • /
    • 제13권1호
    • /
    • pp.3-17
    • /
    • 2011
  • Pipelines of processor have been growing deeper and issue widths wider over the years. If this trend continues, branch misprediction penalty will become very high. Branch misprediction is the single most significant performance limiter for improving processor performance using deeper pipelining. Therefore, more accurate branch predictor becomes an essential part of modem processors for FAFF(Food, Agriculture, Forestry, Fisheries)Information Processing. In this paper, we propose a branch prediction mechanism, using variable length history, which predicts using a bank having higher prediction accuracy among predictions from five banks. Bank 0 is a bimodal predictor which is indexed with the 12 least significant bits of the branch PC. Banks 1,2,3 and 4 are predictors which are indexed with different global history bits and the branch PC. In simulation results, the proposed mechanism outperforms gshare predictors using fixed history length of 12 and 13, up to 6.34% in prediction accuracy. Furthermore, the proposed mechanism outperforms gshare predictors using best history lengths for benchmarks, up to 2.3% in prediction accuracy.

Distally-based free anterolateral thigh flap with a modified vena comitans

  • Kang, Chan-Su;Kim, Tae-Gon
    • Archives of Plastic Surgery
    • /
    • 제46권1호
    • /
    • pp.84-87
    • /
    • 2019
  • With the recent development in microsurgery, the use of a perforator flap has been widely implemented. If the length of the ALT flap pedicle is insufficient despite adequate preoperative planning, pedicle length extension is necessary. We planned for a reverse ALT free flap using the distal vessel of the descending branch for pedicle length extension in the case of ALT perforator branch originating from the proximal portion of the descending branch. For the management of venous congestion, the distal venae comitantes were anastomosed to the proximal venous stump in an antegrade manner, successfully resolving the venous congestion. Modified reverse-flow ALT free flap, wherein the venae comitantes are anastomosed to the proximal vein stump, is a good option that allows for relatively simple pedicle extension within the same operative field when securing an adequate pedicle length is difficult because of the origin of the perforator from the proximal descending branch, unlike the initial surgical plan.

적응 가능한 분기 히스토리 길이를 사용하는 분기 예측 메커니즘 (A Branch Prediction Mechanism Using Adaptive Branch History Length)

  • 조영일
    • 전자공학회논문지CI
    • /
    • 제44권1호
    • /
    • pp.33-40
    • /
    • 2007
  • 최근, 프로세서의 파이프라인 깊이와 이슈 폭이 점차로 증가함에 따라 분기예측 실패에 의한 페널티가 더욱 증가하고 있다. 분기예측 실패는 프로세서 성능을 개선하는데 가장 심각한 성능 장애 요소이다. 따라서 좀 더 정확한 분기 예측기는 최신 프로세서들에게 필수적이다. 많은 분기예측기들은 분기 명령의 주소와 고정 분기히스토리 길이로 예측을 수행한다. 최적의 분기히스토리 길이는 프로그램과 프로그램에 있는 분기 명령에 따라 달라지므로 고정 분기히스토리를 사용하는 예측기들은 잠재적 성능을 얻을 수 없다. 본 논문에서는 5개 뱅크로부터의 예측 중 가장 높은 예측정확도를 갖는 뱅크로 예측하는 가변 길이 분기 히스토리를 사용하는 분기예측 메커니즘을 제안한다. 뱅크 0는 분기 명령의 주소만을 사용하여 인덱스 하는 bimodal 예측기이고, 나머지 뱅크는 다른 히스토리 길이와 분기 명령 PC로 인덱스 하는 예측기이다. 실험결과 제안한 메커니즘은 12, 13의 고정 히스토리 길이를 사용하는 gshare보다 최대 6.34% 예측 정확도를 개선시켰고, 각 벤치마크에 대한 최적의 히스토리 길이를 사용하는 gshare와 비교해도 최대 2.3% 개선시켰다.

적송림(赤松林)에 있어서의 성장인자간(成長因子間)의 상관(相關) (Relation between crown-length, tree-height, diameter Clear-bale length and the longest branch length in a Pinus densiflora stand)

  • 임경빈;박명규
    • 한국산림과학회지
    • /
    • 제5권1호
    • /
    • pp.27-32
    • /
    • 1966
  • Taking an opportunity of the application of clearcutting method, 140 red pine (Pinus densiflora Sieb. et Zucc.) trees grown at Chon-nam province, the southern part of South Korea, were fellen and the tree height, clear-bole length, D.B.H. diameter at base(0.2m above from the ground line) and the length of the longest branch were measured. The correlation between factors mentioned were analysed. The results are summarized as follows: 1. The correlation between crown length and tree height (r=0.821) was sinificant. 2. The correlation between the diameter at base and D.B.H. was highly significant (r=0.961). D.B.H. can be calculated from multiplying the diameter at base by 0.88. 3. A weak relation between D.B.H and tree height was abserved. 4. The positive correlation between tree height and clear-bole-length was calculated, but it was not sharp between D.B.H. and the length of the longest branch. 5. The height, basal area, D.B.H. and volume increment by tree class calculated from the data of the stem analysis are presented (Tab. 3~10).

  • PDF

상엽수량에 영향하는 상수 제형질의 직접효과와 간접효과 (Correlations and Path-coefficient Analysis of Some Characters of Mulberry Trees)

  • 장관열;한경수;민병열
    • 한국잠사곤충학회지
    • /
    • 12호
    • /
    • pp.13-16
    • /
    • 1970
  • 상수각형질의 상엽수량에 미치는 직접효과와 간접효과를 구명하기 위하여 우리나라 중요상수품종을 재료로 상수의 8형질 상호간의 상관관계를 보고 이들 형질과 수량과의 직접효과를 본바 그 결과를 요약하면 다음과 같다. 1, 각형질 상호간의 표현형상관과 유전상관을 계산하여 본바 제표와 같고 대체로 표현형상관보다 유전상관의 값이 높고 지조직경과 제형질간의 상관의 정도는 비교적 낮으나 기타형질 상호간에 있어서는 높은 상관을 보였다. 수량과 엽종과 다른 형질과의 상관을 보면 지조직경 이외의 지조장, 전간수, 주당지수 지총중, 고지조중, 신초엽중간에는 어느경우에 있어서도 수량과 높은 유전상관을 보였다. 2. 수량에 미치는 각형질의 직접영향 엽중 즉 수량(y)과 제형질과의 직접적영향을 본바 제1도와 같이 수량에는 지총중, 절간수, 고지조중의 순서로 수량에 직접 영향하며 기타형질간에는 부의 관계를 나타내었다. 3. 수량에 미치는 각형질의 간접영향 수량에 지형질이 간형적으로 어떻게 영향하는가를 알기 위하여 직접효과와 간접효과를 분할하여 본즉 제2도에서 보는 바와 같다. 지조장이 기어지면 절간수가 증가하고 지조장이 길수록 지총중이 증가되어 결과적으로 수량에 영향한다. 지조직접은 절간수와 지총중에, 주당지수도 절간수와 지총중에, 고지조중도 지총중과 절간수의 증가에 의하여 증가되어 간접적으로 수량의 증가에 영향한다는 것을 알 수 있었다.

  • PDF

The Variation of Winter Buds among 10 Selected Populations of Kalopanax septemlobus Koidz. in Korea

  • Kim, Sea-Hyun;Ahn, Young-sang;Jung, Hyun-Kwon;Jang, Yong-Seok;Park, Hyung-Soon
    • Plant Resources
    • /
    • 제5권3호
    • /
    • pp.214-223
    • /
    • 2002
  • The objective of this study was to understand the conservation of gene resources and provide information for mass selection' of winter bud characters among the selected populations of Kalopanax septemlobus Koidz using analysis of variance(ANOVA) tests. The obtained results are shown below; 1. Ten populations of K. septemlobus were selected for the study of the variation of winter bud characters in Korea. The results of the analysis of variance(ANOVA) tests shows that there were statistically significant differences in all of the winter bud characters among those populations. 2. Correlation analysis shows that width between Height and DBH(Diameter at breast height) characters have negative relationship with all of the characters, as ABL(Apical branch length), ABW(Apical branch width), AWBL(Apical branch winter bud length), AWBW(Apical branch winter bud width), ABT(Apical branch No. of thorns), ABLB(Apical branch No. of lateral bud) and LBL(Lateral branch length), LBW(Lateral branch width), LBT(Lateral branch No. of thorns), LBLB(Lateral branch No. of lateral bud). 3. The result of principal component analysis(PCA) for winter buds showed that the first principal components(PC' s) to the fourth principal component explains about 78% of the total variation. The first principal component(PC) was correlated with AWBW, LWBW, and LBL and the ratio of ABL/ABW and LBL/LBW out of 16 winter bud characters. The second principal component correlated with ABL, ABW, ABLB, LWBL(Lateral branch winter bud length), and LBW and the ratio of AWBL/AWBW. The third principal component correlated with ABL, ABW, LWBL, LBL, and the ratio of LBL/LBW. The fourth principal component correlated with LBL and the ratio of LWBL/LWBW(Lateral branch winter bud width), LBL/LBW. Therefore, these characters were important to analysis of the variation for winter bud characters among selected populations of K. septemlobus in Korea. 4. Cluster analysis using the average linkage method based on 10 selected populations for the 16 winter bud characters of K. septemlobus in Korea showed a clustering into two groups by level of distance 1.1(Fig. 3). As can be seen in Fig. 3, Group I consisted of three areas(Mt. Sori, Mt. Balwang and Mt. Worak) and Group Ⅱ contisted of seven areas(Suwon, Mt. Chuwang, Mt. Kyeryong, Mt. Kaji, Mt. Jiri, Muan, and Mt. Halla). The result of cluster analysis for winter bud characters corresponded well with principal component analysis, as is shown in Fig. 2.

  • PDF

상엽수확고 측정에 관한 연구 제 2 보 상수각형질간의 상호관계 (Studies on the Estimation of Leaf Production in Mulberry Trees II. Correlations among Various Characters)

  • 한경수;장권열;안정준
    • 한국잠사곤충학회지
    • /
    • 제9권
    • /
    • pp.15-19
    • /
    • 1969
  • 상엽의 수확량을 규정하기 위하여 수량에, 관계하는 형질을 알고자 우리나라 상엽의 중요품종인 개량서반, 일지뢰, 노상, 수원상 4 호의 4품종을 재료로 각형질 상호간의 상관관계를 본바 그 결과를 요약하면 다음과 같다. 1. 상관계수를 계출하기 위하여 각형질의 분산 및 공분산을 계산한바 그 결과는 제1표와 같고 형질에 따라서 그 값은 매우 다르나 품종에 따라서는 큰 변동을 보이지 아니한다. 2. 각형질상호간의 상관관계를 본바 그 결과는 제2표와 같고 각형질상호간에 고도의 상관관계가 보이나 특히 엽중즉수량과 기조장, 기조직경, 엽수. 엽면적, 절수, 지총중, 지중 사이에 높은 상관관계가 보였다. 3. 형질상호간의 상관의 정도를 보다 정확하게 알기 위하여 형질상호간의 편상관계수를 계출한바 그 결과는 제3표와 같고 이들 편상관계수의 값은 형질의 조합. 품종에 따라서 동일하지 아니하나 엽면적과 수량간에는 어느 품종에 있어서도 높은 상관관계를 보였다. 이상의 결과로 보아 상엽의 수량을 측정하기 위한 형질은 수량과도 높은 상관관계가 있고 수거전 상전에서 쉽게 측정할수 있는 형질 즉 기조장, 기조직경, 엽수, 엽면적의 4개형질이라고 하겠다.

  • PDF

Design of an extremely miniaturized branch-line coupler

  • Kang, In Ho;Li, Xi Qiang
    • Journal of Advanced Marine Engineering and Technology
    • /
    • 제38권8호
    • /
    • pp.995-999
    • /
    • 2014
  • In this paper, a new size-reduction approach for branch-line coupler is introduced which uses parallel end-shorted coupled lines with lumped capacitors. The characteristic of the new design was analyzed using even-odd mode method, and simulated on HFSS before fabricated on the FR4 epoxy glass cloth copper-clad plat (CCL) PCB substrate at center frequency of 1 GHz. The electrical length of transmission line was reduced to 15 degrees, therefore the size of branch-line coupler was largely reduced approximately maintaining the same characteristic around the stable center frequency. The insertion loss of the branch-line coupler filter was -4.39 dB. The size of the overall hybrid is $20mm{\times}20mm$. Measurements results were well agreed with the simulated ones.

논 엘레멘트 믹서의 혼합 메커니즘에 관한 수치해석적 검토 (Numerical Analysis Study of the Mixing Mechanism of Non-element Mixer)

  • 유선호
    • 한국분무공학회지
    • /
    • 제20권1호
    • /
    • pp.1-6
    • /
    • 2015
  • Visualization of the mixing pattern in a non-element mixer was carried out using laser induced fluorescence(LIF) to evaluate characteristics of mixer consisting of the main flow pipe and branch flow pipes. The branch flows were injected periodically with the period $T_{in}$ normal to the main flow, and rhodamine B was mixed into the most upstream branch flow to visualize mixing pattern in the main flow pipe by LIF. The length of boundary line L of the LIF image was measured. In this study, a numerical analysis was performed to identify the mixing process of the non-element mixer, and the results were compared with experimental results. Each result was almost the same. When the number of branch flows is increased, the mixing pattern became complicated and was supposed to become chaotic. The length of boundary line L increased exponentially with an increase in the number of branch flows.