• 제목/요약/키워드: X-ray lithography

검색결과 73건 처리시간 0.025초

수정된 DXRL 공정에 의한 미세구조 제작 (Fabrication of a Micro-Structure by Modified DXRL Process)

  • 한상필;정명영;정석원;김진태
    • 대한기계학회논문집A
    • /
    • 제27권9호
    • /
    • pp.1517-1523
    • /
    • 2003
  • Deep X-ray lithography (DXRL), a fabrication method for the production of microstructures with a high aspect ratio, plays an important role in the subsequent electroplanting process. However, secondary radiation is generated during X-ray exposure and damages the resist adhesion to the metal layer. To solve adhesion problems, we modified the conventional DXRL process, changing the sequence of polymer adhesion in DXRL process. With optimized X-ray exposure and development conditions based on a calculated and modified X-ray power spectrum, we fabricated various polymer microstructures and achieved a maximum aspect ratio of 40.

LASER-PRODUED PLASMA AS AN X-RAY SOURCE

  • 김효근
    • 한국광학회:학술대회논문집
    • /
    • 한국광학회 1991년도 제6회 파동 및 레이저 학술발표회 Prodeedings of 6th Conference on Waves and Lasers
    • /
    • pp.64-64
    • /
    • 1991
  • The interaction of high-intensity, focused, nanosecond laser light with matter results in the production of high-temperature plasma, which in turn emits an intense pulse of x rays. The x-ray spectrum consists of strong line components of several keV photon energy and broad continuum. Such an x-ray source provides many advantages over conventional ones for many applications. Pulse nature of the x-ray emission is well-suited for studying transient phenomena and for imaging living biological specimen. Recent experiments have also shown that the laser plasma x ray may be used for x ray lithography. These studies and other applications will be discussed in detail.

  • PDF

SLS형 쾌속조형기를 이용한 미세구조 몰드 제작 (Fabrication of micro structure mold using SLS Rapid Prototyping)

  • 유홍진;김동학;장석원;김태완
    • 한국산학기술학회논문지
    • /
    • 제5권2호
    • /
    • pp.186-190
    • /
    • 2004
  • Nano size 몰드의 제작은 X-ray lithography 방법을 이용하여 몰드를 제작하고, micro size의 경우 Deep UV lithography 방법을 이용하여 몰드를 제작하고 있다. 본 연구에서는 SLS(Selective Laser Sintering)형 RP(Rapid Prototyping System)을 이용하여 미세구조 몰드를 제작하였으며, 패턴의 깊이는 400 ㎛까지 구현하였다. 제작된 몰드의 강도와 내열성을 높이기 위하여 전해도금을 이용하여 몰드의 표면에 Ni를 300 ㎛생성 시켰다.

  • PDF

3D Lithography using X-ray Exposure Devices Integrated with Electrostatic and Electrothermal Actuators

  • Lee, Kwang-Cheol;Lee, Seung S.
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제2권4호
    • /
    • pp.259-267
    • /
    • 2002
  • We present a novel 3D fabrication method with single X-ray process utilizing an X-ray mask in which a micro-actuator is integrated. An X-ray absorber is electroplated on the shuttle mass driven by the integrated micro-actuator during deep X-ray exposures. 3D microstructures are revealed by development kinetics and modulated in-depth dose distribution in resist, usually PMMA. Fabrication of X-ray masks with integrated electrothermal xy-stage and electrostatic actuator is presented along with discussions on PMMA development characteristics. Both devices use $20-\mu\textrm{m}$-thick overhanging single crystal Si as a structural material and fabricated using deep reactive ion etching of silicon-on-insulator wafer, phosphorous diffusion, gold electroplating, and bulk micromachining process. In electrostatic devices, $10-\mu\textrm{m}-thick$ gold absorber on $1mm{\times}1mm$ Si shuttle mass is supported by $10-\mu\textrm{m}-wide$, 1-mm-long suspension beams and oscillated by comb electrodes during X-ray exposures. In electrothermal devices, gold absorber on 1.42 mm diameter shuttle mass is oscillated in x and y directions sequentially by thermal expansion caused by joule heating of the corresponding bent beam actuators. The fundamental frequency and amplitude of the electrostatic devices are around 3.6 kHz and $20\mu\textrm{m}$, respectively, for a dc bias of 100 V and an ac bias of 20 VP-P (peak-peak). Displacements in x and y directions of the electrothermal devices are both around $20{\;}\mu\textrm{m}$at 742 mW input power. S-shaped and conical shaped PMMA microstructures are demonstrated through X-ray experiments with the fabricated devices.

레이저-플라즈마를 이용한 X-선 레이저의 연구 (X-ray laser development using laser-produced plasmas)

  • 남창희
    • 한국광학회지
    • /
    • 제3권1호
    • /
    • pp.67-72
    • /
    • 1992
  • 7년전 두 개의 그룹에 의해 강한 증폭된 자발 방출이 200.angs. 영역에서 관찰된 이래, 증폭도의 증가와 작동 파장영역의 확장등에서 세계적으로 많은 X-선 레이저 연구가 이루어져 왔다. X-선 레이저의 개발은 살아있는 생체조직을 고분해능으로 관찰할 수 있는 X-선 현미경 기술, 세포의 3차원 상을 가능케 하는 X-선 홀로그래피 기술, 고밀도 플라즈마의 진단 등의 여러 분야에 응용될 것이다. 국내에서도 기초적인 X-선 레이저 개발에 대한 연구가 과학기술원과 포항공과대학에서 시작되고 있어 곧 고무적인 연구결과가 나올 것으로 기대된다.

  • PDF

대면적 SPL(Scanning Probe Lithography) 시스템 제작 (Manufacturing of SPL system having a large scanning area)

  • 윤상준;김원효;성우경;박영근;황규호;정관수
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 추계학술대회 논문집 Vol.17
    • /
    • pp.699-702
    • /
    • 2004
  • Next generation lithography technologies, such as EBL(Electron Beam Lithography), X-ray lithography, SPL(Scanning Probe Lithography), have been studied widely for getting over line width limitation of photolithography. Among the next generation lithography technologies, SPL has been highlighted because of its high resolution advantage. But is also has problem which are slow processing time and sample size limitation. The purpose of this study is complement of present SPL system. Brand new SPL system was made. SPL test was performed with the system in ultra thin PMMA(polymethlymethacrylate) film.

  • PDF

SiN 멤브레인과 WTi 흡수체를 이용한 X-선 노광용 마스크 제작 (Fabrication of a mask for X-ray lithography Using SiN membrane and WTi Absorber)

  • 이문석;김오현
    • 전자공학회논문지A
    • /
    • 제32A권12호
    • /
    • pp.115-121
    • /
    • 1995
  • A mask for x-ray lithography is fabricated with SiN membrane and WTi absorber. SiN membrane is deposited by plasma enhanced chemical vapor deposition, and the stress of SiN membrane is controlled to be less than 100 MPa by rapid thermal annealing. WTi absorber is reactively deposited by DC-magnetron type sputter, and the working gases are argon and nitrogen. Added nitrogen is contributed to the stress of WTi absorber. The stress of WTi absorber is controlled to be less than $\pm$ 100 MPa by controlling the deposition pressure. 10$\mu$m WTi pattern is delineated on SiN membrane by dry etching technique.

  • PDF

변형 DEEP X-ray를 이용한 마이크로 렌즈 및 V-groove 제작 (Microlens Micro V-groove Fabrication by the Modified LIGA Process)

  • 이정아;이승섭;전병희
    • 소성∙가공
    • /
    • 제13권3호
    • /
    • pp.290-295
    • /
    • 2004
  • Mircolens and microlens V-groove are realized using a novel fabrication technology based on the exposure of a resist, usually PMMA, to deep X-rays and subsequent thermal treatment and inclined deep X-ray lithography, respectively. The fabrication technology is very simple and produces microlenses and microlens V-groove with good surface roughness of several nm. The molecular weight and glass transition temperature of PMMA is reduced when it is irradiated with deep X-rays. The microlenses were produced through the effects of volume change, surface tension, and reflow during thermal treatment of irradiated PMMA. Microlenses were produced with diameters ranging from 30 to $1500\mu\textrm{m}$. The surface X-ray mask is also fabricated to realize microlens arrays on PMMA sheet with a large area. The size of the micro V-groove is fabricated in the range of 12~$60\mu\textrm{m}$.